1 / 16

TRIAD: A Triple Patterning Lithography Aware Detailed Router

TRIAD: A Triple Patterning Lithography Aware Detailed Router. Yen-Hung Lin,Bei Yu, David Z. Pan, and Yih-Lang Li 2012 ICCAD. Outline. Introduction Preliminaries and problem formulation Approach Experimental results Conclusion. Introduction.

atara
Download Presentation

TRIAD: A Triple Patterning Lithography Aware Detailed Router

An Image/Link below is provided (as is) to download presentation Download Policy: Content on the Website is provided to you AS IS for your information and personal use and may not be sold / licensed / shared on other websites without getting consent from its author. Content is provided to you AS IS for your information and personal use only. Download presentation by click this link. While downloading, if for some reason you are not able to download a presentation, the publisher may have deleted the file from their server. During download, if you can't get a presentation, the file might be deleted by the publisher.

E N D

Presentation Transcript


  1. TRIAD: A Triple Patterning Lithography AwareDetailed Router Yen-Hung Lin,Bei Yu, David Z. Pan, and Yih-Lang Li 2012 ICCAD

  2. Outline • Introduction • Preliminaries and problem formulation • Approach • Experimental results • Conclusion

  3. Introduction • Manufacturing process node enters the nano-meter era • The delay of the next generation lithograph such as extreme ultraviolet (EUV) and E-beam • Double patterning lithography (DPL) • Triple patterning lithography (TPL)

  4. Preliminaries Conflict graph • A vertex in one CG represents one wire segment in a layout. An edge between two vertices, vi and vj, in one CG is generated when the minimum spacing between the wire segments represented by vi and vjis smaller than minimum coloring spacing, denoted as spdp.

  5. Routing model • The detailed routing can be classified into grid-based one and gridless one • NEMO model [14] [15] • expands each obstacle and routed net by half of a wire width hwwand one wire spacing spwto generate contours

  6. Problem formulation

  7. Approach TECG • token graph (TG) is proposed to maintain the logical coloring relation among sets of wire segments.

  8. Graph reduction technique

  9. Implicit Edge in TG • Two non-adjacent vertices in one TG cannot be assigned to one color when certain topology appears in TG.

  10. TRIAD

  11. TECG on the Gridless Routing Model

  12. TPL Stitch Generation Scheme

  13. Experimental results

  14. Conclusion • Proposes a token graph-embedded conflict graph (TECG) with a graph reduction technique. • Develops a TPL aware detailed router (TRIAD) with the TPL stitch generation to solve TPL conflicts. • Experimental results show that the routing results have no TPL conflicts and introduces total three stitches for two cases with 0.54% decrement in wirelength at the cost of 2.41of runtime.

More Related