1 / 11

JK and T Flip-Flops

JK and T Flip-Flops. Discussion D4.4 Example 29. JK Flip-flops. D = J*~q + ~K*q. JK Flip-flops. -- Example 29a: JK Flip-flop library IEEE; use IEEE.STD_LOGIC_1164. all ; entity jkff is port ( clk : in STD_LOGIC; clr : in STD_LOGIC; J : in STD_LOGIC;

breena
Download Presentation

JK and T Flip-Flops

An Image/Link below is provided (as is) to download presentation Download Policy: Content on the Website is provided to you AS IS for your information and personal use and may not be sold / licensed / shared on other websites without getting consent from its author. Content is provided to you AS IS for your information and personal use only. Download presentation by click this link. While downloading, if for some reason you are not able to download a presentation, the publisher may have deleted the file from their server. During download, if you can't get a presentation, the file might be deleted by the publisher.

E N D

Presentation Transcript


  1. JK and T Flip-Flops Discussion D4.4 Example 29

  2. JK Flip-flops D = J*~q + ~K*q

  3. JK Flip-flops

  4. -- Example 29a: JK Flip-flop library IEEE; use IEEE.STD_LOGIC_1164.all; entity jkff is port( clk : in STD_LOGIC; clr : in STD_LOGIC; J : in STD_LOGIC; K : in STD_LOGIC; q : out STD_LOGIC ); end jkff;

  5. architecture jkff of jkff is signal D, qs: STD_LOGIC; begin D <= (J andnot qs) or (not K and qs); -- D Flip-flop process(clk, clr) begin if(clr = '1') then qs <= '0'; elsif(clk'event and clk = '1') then qs <= D; end if; end process; q <= qs; end jkff; D = J*~q + ~K*q

  6. Aldec Active-HDL Simulation

  7. T Flip-flops D = T ^ q

  8. T Flip-flops

  9. -- Example 29b: T flip-flop library IEEE; use IEEE.STD_LOGIC_1164.all; entity tff is port( clk : in STD_LOGIC; clr : in STD_LOGIC; t : in STD_LOGIC; q : out STD_LOGIC ); end tff;

  10. architecture tff of tff is signal D, qs: STD_LOGIC; begin D <= t xor qs; -- D Flip-flop process(clk, clr) begin if(clr = '1') then qs <= '0'; elsif(clk'event and clk = '1') then qs <= D; end if; end process; q <= qs; end tff; D = T ^ q

  11. Aldec Active-HDL Simulation

More Related