1 / 6

Pulse-Width Modulated DAC

Pulse-Width Modulated DAC. Lecture 11.3 Verilog Section 11.5. 8-Bit Counter. Pulse-Width Modulation. module counter8 ( Q ,clr ,clk ); input clr ; wire clr ; input clk ; wire clk ; output [7:0] Q ; reg [7:0] Q ; // 8-bit counter always @( posedge clk or posedge clr)

Download Presentation

Pulse-Width Modulated DAC

An Image/Link below is provided (as is) to download presentation Download Policy: Content on the Website is provided to you AS IS for your information and personal use and may not be sold / licensed / shared on other websites without getting consent from its author. Content is provided to you AS IS for your information and personal use only. Download presentation by click this link. While downloading, if for some reason you are not able to download a presentation, the publisher may have deleted the file from their server. During download, if you can't get a presentation, the file might be deleted by the publisher.

E N D

Presentation Transcript


  1. Pulse-Width Modulated DAC Lecture 11.3 Verilog Section 11.5

  2. 8-Bit Counter

  3. Pulse-Width Modulation

  4. module counter8 ( Q ,clr ,clk ); input clr ; wire clr ; input clk ; wire clk ; output [7:0] Q ; reg [7:0] Q ; // 8-bit counter always @(posedge clk orposedge clr) begin if(clr == 1) Q <= 0; else Q <= Q + 1; end endmodule

  5. module PWM(clk,clr,duty,pwm); input clk, clr; input [7:0] duty; output pwm; reg pwm; wire [7:0] count; wire set, reset; assign set = &count; assign reset = (count == duty); always @(posedge set orposedge reset orposedge clr) begin if(clr == 1) pwm <= 0; else begin if(set == 1) pwm <= 1; if(reset == 1) pwm <= 0; end end counter8 CNT(.Q(count),.clr(clr),.clk(clk));

  6. Simulation of PWM

More Related