1 / 67

第三章 数字系统设计基础实验内容

第三章 数字系统设计基础实验内容. 实验一 基本逻辑门电路实验. 一、基本逻辑门电路性能(参数)测试. (四)实验提示 1. 将器件的引脚7与实验台的 “ 地( GND ) ” 连接,将器件的引脚14与实验台的十 5 V连接。 2. 用实验台的电平开关输出作为被测器件的输入。拨动开关,则改变器件的输入电平。 3. 将被测器件的输出引脚与实验台上的电平指示灯 (LED) 连接。指示灯亮表示输出低电平(逻辑为0),指示灯灭表示输出高电平(逻辑为 1 )。. (三)实验内容 1.测试二输入四与非门 74LS00 一个与非门的输入和输出之间的逻辑关系。

chance
Download Presentation

第三章 数字系统设计基础实验内容

An Image/Link below is provided (as is) to download presentation Download Policy: Content on the Website is provided to you AS IS for your information and personal use and may not be sold / licensed / shared on other websites without getting consent from its author. Content is provided to you AS IS for your information and personal use only. Download presentation by click this link. While downloading, if for some reason you are not able to download a presentation, the publisher may have deleted the file from their server. During download, if you can't get a presentation, the file might be deleted by the publisher.

E N D

Presentation Transcript


  1. 第三章 数字系统设计基础实验内容 实验一 基本逻辑门电路实验

  2. 一、基本逻辑门电路性能(参数)测试 • (四)实验提示 • 1.将器件的引脚7与实验台的“地(GND)”连接,将器件的引脚14与实验台的十5V连接。 • 2.用实验台的电平开关输出作为被测器件的输入。拨动开关,则改变器件的输入电平。 • 3.将被测器件的输出引脚与实验台上的电平指示灯(LED)连接。指示灯亮表示输出低电平(逻辑为0),指示灯灭表示输出高电平(逻辑为1)。 • (三)实验内容 • 1.测试二输入四与非门74LS00一个与非门的输入和输出之间的逻辑关系。 • 2.测试二输入四或非门74LS02一个或非门的输入和输出之间的逻辑关系。 • 3.测试二输入四异或门74LS86一个异或门的输入和输出之间的逻辑关系。 (一)实验目的 • 1.掌握TTL与非门、与或非门和异或门输入与输出之间的逻辑关系。 • 2.熟悉TTL中、小规模集成电路的外型、管脚和使用方法。 (二)实验所用器件 • l.二输入四与非门74LS00 1片 • 2.二输入四或非门74LS02 1片 • 3.二输入四异或门74LS86 1片

  3. (五)实验接线图及实验结果 74LS00中包含4个二输入与非门,7402中包含4个二输入或非门,7486中包含4个二输入异或门,它们的引脚分配图见附录。下面各画出测试7400第一个逻辑门逻辑关系的接线图及测试结果。测试其它逻辑门时的接线图与之类似。测试时各器件的引脚7接地,引脚14接十5V。图中的K1、K2接电平开关输出端,LED0是电平指示灯。

  4. 1、测试74LS00逻辑关系接线图及测试结果

  5. 2、测试74LS02逻辑关系接线图及测试结果

  6. 3、测试74LS86逻辑关系接线图及测试结果

  7. 二 、 TTL、HC和HCT器件的电压传输特性 • (四)、实验提示 • 1.注意被测器件的引脚7和引脚14分别接地和十5V。 • 2.将实验台上4.7KΩ电位器RTL的电压输出端连接到被测非门的输入端,RTL的输出端电压作为被测非门的输入电压。旋转电位器改变非门的输入电压值。 • 3.按步长0.2V调整非门输入电压。首先用万用表监视非门输入电压,调好输入电压后,用万用表测量非门的输出电压,并记录下来。 • (三)、实验内容 • 1.测试TTL器件74LS04一个非门的传输特性。 • 2.测试HC器件74HC04一个非门的传输特性。 • 3.测试HCT器件74HCT04一个非门的传输特性。 (一)、实验目的 • 1.掌握TTL、HCT和 HC器件的传输特性。 • 2.掌握万用表的使用方法。 (二)、实验所用器件 • 1.六反相器74LS04片 • 2.六反相器74HC04片 • 3.六反相器74HCT04片

  8. 二 、 TTL、HC和HCT器件的电压传输特性 (五)、实验接线图及实验结果 1.实验接线图由于 74LS04、74HC04和 74HCT04的逻辑功能相同,因此三个实验的接线图是一样的。下面以第一个逻辑门为例,画出实验接线图(电压表表示电压测试点)如右图

  9. 二 、 TTL、HC和HCT器件的电压传输特性 2.输出无负载时74LS04、74HC04、74HCT04电压传输特性测试数据

  10. 二 、 TTL、HC和HCT器件的电压传输特性 3.输出无负载时74LS04、74HC04和 74HCT04电压传输特性曲线。

  11. 二 、 TTL、HC和HCT器件的电压传输特性 4.比较三条电压传输特性曲线的特点。 尽管只对三个芯片在输出无负载情况下进行了电压传输特性测试,但是从图2.2、图2.3和图2.4所示的三条电压传输特性曲线仍可以得出下列观点: (1)74LS芯片的最大输入低电平VIL低于74HC芯片的最大输入低电平VIL,74LS芯片的最小输入高电平VIH低于74HC芯片的最小输出高电平VIH。 (2)74LS芯片的最大输入低电平VIL、最小输入高电平VIH与74HCT芯片的最大输入低电平VIL、 最小输出高电平VIH相同。 (3)74LS芯片的最大输出低电平VOL高于74HC芯片和74HCT芯片的最大输出低电平VOL。74LS芯片的最小输出高电平VOH低于74HC芯片和74HCT芯片的最小输出高电平VOH。 (4)74HC芯片的最大输出低电平 VOL、最小输出高电平 VOH与 74HCT芯片的最大输出低电平VOL、最小输出高电平VOH相同。

  12. 5.在不考虑输出负载能力的情况下,从上述观点可以得出下面的推论5.在不考虑输出负载能力的情况下,从上述观点可以得出下面的推论 二 、 TTL、HC和HCT器件的电压传输特性 (1)74H CT芯片和74HC芯片的输出能够作为 74LS芯片的输入使用。 (2)74LS芯片的输出能够作为74HCT芯片的输入使用。 实际上,在考虑输出负载能力的情况下,上述的推论也是正确的。应当指出,虽然在教科书中和各种器件资料中,74LS芯片的输出作为74HC芯片的输入使用时,推荐的方法是在74LS 芯片的输出和十5V电源之间接一个几千欧的上拉电阻,但是由于对74LS芯片而言,一个74HC输入只是一个很小的负载,74LS芯片的输出高电平一般在3.5V~4.5V之间,因此在大多数的应用中,74LS芯片的输出也可以直接作为74HC芯片的输入。

  13. 三、逻辑门控制电路 1.用与非门和异或门安装如图所示的电路。检验它的真值表,说明其功能。

  14. 三、逻辑门控制电路 2、用3个三输入端与非门IC芯片74LS10安装如图所示的电路 从实验台上的时钟脉冲输出端口选择两个不同频率(约 7khz和 14khz)的脉冲信号分别加到X0和X1端。对应 B和 S 端数字信号的所有可能组合,观察并画出输出端的波形,并由此得出S和B(及/B)的功能。

  15. 实验二 组合逻辑电路部件实验 实验目的: 掌握逻辑电路设计的基本方法 掌握EDA工具MAX-PlusII的原理图输入方法 掌握MAX-PlusII的逻辑电路编译、波形仿真的方法

  16. 组合逻辑电路部件实验实验内容 (一)逻辑单元电路的波形仿真 利用EDA工具MAX-PlusII的原理图输入法,分别输入74138、7483图元符号;建立74138、7483的仿真波形文件,并进行波形仿真,记录波形;分析74138、7483逻辑关系。 1).3-8译码器74138的波形仿真 2).4位二进制加法器7483的波形仿真 4位二进制加法器集成电路 74LS83中,A和 B是两个4位二进制数的输入端,Cout,S3,S2,S1,S0是5位输出端。Cin是进位输入端,而Cout是进位输出端。

  17. 组合逻辑电路部件实验实验内容 (二)简单逻辑电路设计 根据题目要求,利用EDA工具MAX-PlusII的原理图输入法,输入设计的电路图;建立相应仿真波形文件,并进行波形仿真,记录波形和输入与输出的时延差;分析设计电路的正确性。

  18. 1. 设计一个2-4译码器 2-4译码器功能表如下 E为允许使能输入线,A1、A2为译码器输入,Q0、Q1、Q2、Q3分别为输出, Φ为任意状态 。

  19. 2.设计并实现一个4位二进制全加器 (1) 二进制全加器原理 一个n位二进制加法运算数字电路是由一个半加器和(n-1)个全加器组成。它把两个n位二进制数作为输入信号。产生一个(n+1)位二进制数作它的和。如图所示。

  20. 用全加器构成的n位二进制加法器 图中A和B是用来相加的两n位输入信号,Cn-1,Sn-1,Sn-2,······S2,S1,S0是它们的和。在该电路中对A0和B0相加是用一个半加器,对其它位都用全加器。如果需要串接这些电路以增加相加的位数,那么它的第一级也必须是一个全加器。

  21. (2)设计步骤 ①设计1位二进制全加器,逻辑表达式如下: Sn=An⊕Bn⊕Cn-1 Cn= An·Bn+Cn-1(An⊕Bn) An是被加数, Bn是加数,Sn是和数,Cn是向高位的进位,Cn-1是低位的进位。 ②利用1位二进制全加器构成一个4位二进制全加器

  22. 3.交叉口通行灯逻辑问题的实现 图表示一条主干公路(东一面)与一条二级道路的交叉点。车辆探测器沿着A、B、C和D线放置。当没有发现车辆时,这些敏感组件的输出为低电平‘0”。当发现有车辆时,输出为高电平“1”。交叉口通行灯根据下列逻辑关系控制:

  23. 交叉口通行灯逻辑问题的实现 (a)东一西灯任何时候都是绿的条件 (1)C和D线均被占用; (2)没有发现车辆; (3)当A、B线没同的占用时,C或D任一条线被占用; (b)南一北灯任问时候都是绿的条件 (1)A和B线均被占用,而C和D线均未占用或只占用 一条线; (2)当C和D均未被占用时,A或B任一条线被占用。

  24. 交叉口通行灯逻辑问题的实现 电路应有两个输出端,南北(SN)和东西(EW),输出高电平对应绿灯亮,输出低电平对应红灯亮。 用敏感组件的输出作为逻辑电路输入信号,对所给的逻辑状态建立一个真值表,化简后得最简逻辑表达式,用与非门实现该电路、并用波形仿真设计电路的功能,分析其正确性之。

  25. 4.设计一个7位奇/偶校验器 奇/偶校验代码是在计算机中常用的一种可靠性代码。它由信息码和一位附加位——奇/偶校验位组成。这位校验位的取值(0或1)将使整个代码串中的1的个数为奇数(奇校验代码)或为偶数(偶校验代码)。

  26. (1) 奇/偶校验位发生器 (A) 奇/偶校验位发生器就是根据输入信息码产生相应的校验位。如图是4位信息码的奇校验位发生器电路。可推知:当B3B4B2B1中的1的个数为偶数时此奇校验位发生器输出的校验位P为1,反之为0。 (B) 设计一个7位二进制奇/偶校验位发生器 代码分别为a0、a1、a2、a3、a4、a5、a6; 奇校验位为P,偶校验位为E。逻辑表达式如下: /P=a0⊕a1⊕a2⊕a3⊕a4⊕a5⊕a6 E= P。

  27. (2) 奇/偶校验代码校验器 (A) 奇/偶校验器用于检验奇(偶)校验代码在传送和存储中有否出现差错,它具有发现所有奇数个位数错的能力。 (B)设计一个8位二进制奇校验器 代码分别为a0、a1、a2、a3、a4、a5、a6、 /p的奇校验器。逻辑表达式如下: S= a0⊕a1⊕a2⊕a3⊕a4⊕a5⊕a6⊕P 显然,当校验器的输入代码a0a1a2a3a4a5a6 /p中1的个数为奇数时,校验器的输出S为1、反之S为0。

  28. 5.设计一个四选一的(数据选择器)电路 数据选择器又称输入多路选择器、多路开关。它的功能是在选择信号的控制下,从若干路输入数据中选择某一路输入数据作为输出。

  29. E是选通使能端,A1、A0分别是选择信号端,D0、D1、D2、D3分别是四路数据,F是输出端。E是选通使能端,A1、A0分别是选择信号端,D0、D1、D2、D3分别是四路数据,F是输出端。 一个四选一数据选择器功能表

  30. 6.设计一个1:4数据分配器 数据分配器的功能是在选通(G)和选择信号(Cn)线的控制下将一路输入数据(D)分别分配给相应的输出端(Yn)。

  31. G 是选通使能端,S1、S0分别是选择端,D是一路输入数据,Y0、Y1、Y2、Y3分别是选择的输出。 1:4数据分配器功能表

  32. 7.设计并实现2位二进制数字比较器 功能描述:比较A1A0和B1B0两个2位二进制数: • En使能端,En=1有效。 • 当A1A0=B1B0时,电路输出端E=1,其它情况时E=0; • 当A1A0>B1B0时,电路输出端L=1, 其它情况时L=0; • 当A1A0<B1B0时,电路输出端S=1, 其它情况时S=0; 对设计的电路进行波形仿真,记录结果。

  33. 实验三 时序电路设计

  34. (一)触发器实验 实验目的 1.掌握RS触发器、D触发器、JK触发器的工作原理。 2.学会正确使用RS触发器、D触发器、JK触发器。

  35. 实验内容 1. 用 74LS00构成一个 RS 触发器。给出R、S波形序列,进行波形仿真,说明RS触发器的功能。 2. D触发器DFF (或双D触发器74LS74中一个D触发器)功能测试。 D触发器的输入端口CLR是复位或清零,PRN是(置位);给定D(数据)、CLK(时钟)波形序列,进行波形仿真,记录输入与输出Q波形。说明D触发器是电平触发还是上升沿触发,分析原因。 3. JK触发器JKFF(或双JK触发器74LS73、74LS76中一个JK触发器)功能测试与分析。 JK触发器输入端口CLR是复位端,PRN是置位端,CLKS是时钟。给出CK,J,K的波形,仿真JK触发器的功能,说明JK触发器的CLK何时有效。 D触发器74LS74是上升沿触发,JK触发器74LS73是下降沿触发

  36. (二)简单时序电路设计实验 实验目的 • 学习利用EDA工具设计简单时序电路。 • 掌握简单时序电路的分析、设计、波形仿真、器件编程及测试方法

  37. 实验内容 1.用D触发器DFF(或74LS74)构成的4位二进制计数器(分频器) (1) 输入所设计的4位二进制计数器电路并编译。 (2) 建立波形文件,对所设计电路进行波形仿真。并记录Q0、Q1、Q2、Q3的状态。 (3) 对所设计电路进行器件编程。将CLK引脚连接到实验系统的单脉冲输出插孔,4位二进制计数器输出端Q0、Q1、Q2、Q3连接到LED显示灯,CLR、PRN端分别连接到实验系统两个开关的输出插孔。 (4)由时钟CLK输入单脉冲,记录输入的脉冲数,同时观测 Q0、Q1、Q2、Q3对应LED显示灯的变化情况。

  38. 2.异步计数器 异步计数器是指输入时钟信号只作用于计数单元中的最低位触发器,各触发器之间相互串行,由低一位触发器的输出逐个向高一位触发器传递,进位信号而使得触发器逐级翻转,所以前级状态的变化是下级变化的条件,只有低位触发器翻转后才能产生进位信号使高位触发器翻转。

  39. 1)计数器单元电路仿真 a)用74LS93构成一个2位十六进制计数器,并进行波形仿真,74LS93图示如下。

  40. b)用74LS90构成一个2位BCD码计数器,并进行波形仿真。b)用74LS90构成一个2位BCD码计数器,并进行波形仿真。 • 74LS90图示如下

  41. 2)设计异步十进制计数器 a) 用JK触发器JKFF(或双JK触发器74LS73、7476)构成1位十进制计数器(或BCD计数器)

  42. JK触发器 b) 对所设计的计数器,建立相应波形文件,进行波形仿真。并记录计数值Q0、Q1、Q2、Q3的状态。 c)对设计的计数器进行器件编程、连线,由时钟端 CLK输入单脉冲,测试并记录 Q0、Q1、Q2、Q3的状态变化,验证设计电路的正确性。

  43. 3.移位寄存器 • 移位寄存器一种能寄存二进制代码,并能在时钟控制下对代码进行右移或左移的同步时序电路。计算机执行四则运算和逻辑移位等指令少不了移位寄存器,此外,移位寄存器还可用于计算机的串行传输口的串并行信息转换电路。

  44. 1)集成移位寄存器波形仿真 74LS95是4位并/串输入,并行输出,双向移位的移位寄存器。

  45. 移位寄存器 • 用JK触发器设计一个4位串行输入,并行输出右移寄存器。 针对所设计电路建立相应的波形仿真文件,进行波形仿真,器件编程,验证所设计电路的正确性。 • 用JK触发器设计4位并行输入,串行输出右移寄存器。 对所设计的4位右移寄存器建立相应波形仿真文件,进行波形仿真。

  46. 4.自循环寄存器 (1)用D触发器DFF (或74LS74)构成一个四位自循环寄存器。 方法是第一级的 Q端接第二级的 D端, 依次类推,最后第四级的Q端接第一级的D端。四个D触发器的CLK端连接在一起,然后接单脉冲时钟。 (2)对设计的电路建立相应的波形仿真文件,进行波形仿真。 将触发器Q0置1(即PRN0输入一个负脉冲), Q1、Q2、Q3清0(即CLR1、CLR2、CLR3输入一个负脉冲)。 (3)进行器件编程(定义自循环寄存器的输入/输出引脚号)。 (4)连线验证所设计电路的正确性 预置初始状态(与波形仿真相同),自循环寄存器的PRNi和CLRi端连接到开关的电平输出插空,输入端CLK引脚连接到实验系统的单脉冲输出插孔,输出端Q0、Q1、Q2、Q3连接到LED显示灯。由时钟CLK输入端输入单脉冲,观察并记录Q0、Q1、Q2、Q3的状态变化。

  47. 5.同步计数器 所谓同步计数器是指计数器中各触发器统一使用同一输入输入时钟脉冲(计数脉冲)信号,在同一时刻所有触发器同时翻转并产生进位信号。

  48. (1)用74LS191构成一个2位十六进制计数器,并进行波形仿真。(1)用74LS191构成一个2位十六进制计数器,并进行波形仿真。

  49. (2)用74LS160构成一个2位BCD码计数器,并进行波形仿真。(2)用74LS160构成一个2位BCD码计数器,并进行波形仿真。

  50. 实验四 基于VHDL的基本逻辑电路设计 实验目的: 学会使用VHDL语言设计数字单元电路的方法。 掌握用VHDL语言设计的数字单元电路的调试,波形仿真的方法。

More Related