1 / 20

ハードウェア構成法実験 第6回

ハードウェア構成法実験 第6回. 2003/05/19 担当 千本 潤介 bonse@is.s.u-tokyo.ac.jp. 内容. Scirocco の使い方. 1. Scirocco の使い方. ~ FULL_ADDER のテスト ~. 準備( 1 ). 各種設定ファイルの読み込み .setup_synopsys 作業ディレクトリにコピーして、 source する synopsys_sim.setup ホームディレクトリ、作業ディレクトリに同名でコピー .synopsys_dc.setup ホームディレクトリ直下に同名でコピー

lani
Download Presentation

ハードウェア構成法実験 第6回

An Image/Link below is provided (as is) to download presentation Download Policy: Content on the Website is provided to you AS IS for your information and personal use and may not be sold / licensed / shared on other websites without getting consent from its author. Content is provided to you AS IS for your information and personal use only. Download presentation by click this link. While downloading, if for some reason you are not able to download a presentation, the publisher may have deleted the file from their server. During download, if you can't get a presentation, the file might be deleted by the publisher.

E N D

Presentation Transcript


  1. ハードウェア構成法実験第6回 2003/05/19 担当 千本 潤介 bonse@is.s.u-tokyo.ac.jp

  2. 内容 • Sciroccoの使い方

  3. 1.Sciroccoの使い方 ~ FULL_ADDERのテスト ~

  4. 準備(1) • 各種設定ファイルの読み込み • .setup_synopsys • 作業ディレクトリにコピーして、sourceする • synopsys_sim.setup • ホームディレクトリ、作業ディレクトリに同名でコピー • .synopsys_dc.setup • ホームディレクトリ直下に同名でコピー • いずれも~bonse/以下にある

  5. 準備(2) • vhdlanを使って解析 % vhdlan halfadder.vhd % vhdlan fulladder.vhd % vhdlan drive_fulladder.vhd • シミュレーション用実行ファイルの生成 % scs CNFG_FA (デフォルトで)scsimというファイルができる

  6. Sciroccoの起動 • Sciroccoを起動 % scirocco & するとメインウィンドウが立ち上がる • scsimを直接実行してコマンドラインモードでのシミュレーションも可能 % ./scsim

  7. メインウィンドウ • ここでは、Interactiveをクリック

  8. Interactiveウィンドウ(1) • すると、Simulator Comman Lineというウィンドウが立ち上がる

  9. Interactiveウィンドウ(2) • scsで生成したファイルを指定 • シェルのPATHに“.”が含まれていないときは、./scsimというように指定すること

  10. Interactiveウィンドウ(3) • しばらく待つと、以下のようなウィンドウが起動

  11. Waveformウィンドウ(1) • メインウィンドウのWaveformをクリック

  12. Hierarchyウィンドウ(1) • メインウィンドウのHierarchyをクリック

  13. Hierarchyウィンドウ(2) • 適切な信号(ここではFA_TEST)を選んでクリック すると、右下に信号名が表示される

  14. Hierarchyウィンドウ(3) • 配列型の信号はクリックするとさらに細かく選択可能 波形を表示させたい信号を選んでAddボタンを押す

  15. Waveformウィンドウ(2) • 選択した信号が表示されている

  16. Interactiveウィンドウ(4) • Commandウィンドウにrun 10と打ち込んでみる すると10fsだけシミュレーションが実行される

  17. Waveformウィンドウ(3) • 波形ウィンドウには波形変化が表示される

  18. 検証作業 • 波形を見て、回路の動きが適切化を判断 • S(0), S(1) : 入力  S(2) : キャリーイン • O : 出力 CO : キャリーアウト • 配列Sは10進で表示されていることに注意 • 例 : 5(101) ⇒ S(0) = S(2) = 1, S(1) = 0 • 個々の要素を表示させることも可能 • 前ページの波形をみるとFULL_ADDERは正常に動作していることが分かる

  19. ズーム機能(1) • 波形ウィンドウのZをクリックすると拡大

  20. ズーム機能(2) • 波形ウィンドウのzをクリックすると縮小

More Related