1 / 19

Leakage and Dynamic Glitch Power Minimization Using MIP for V th Assignment and Path Balancing

Leakage and Dynamic Glitch Power Minimization Using MIP for V th Assignment and Path Balancing. Yuanlin Lu and Vishwani D. Agrawal Auburn University ECE Dept., Auburn, Alabama, USA PATMOS’05, Leuven, Belgium, September 21-23, 2005. Problem Statement. Design a CMOS Circuit :

larryblack
Download Presentation

Leakage and Dynamic Glitch Power Minimization Using MIP for V th Assignment and Path Balancing

An Image/Link below is provided (as is) to download presentation Download Policy: Content on the Website is provided to you AS IS for your information and personal use and may not be sold / licensed / shared on other websites without getting consent from its author. Content is provided to you AS IS for your information and personal use only. Download presentation by click this link. While downloading, if for some reason you are not able to download a presentation, the publisher may have deleted the file from their server. During download, if you can't get a presentation, the file might be deleted by the publisher.

E N D

Presentation Transcript


  1. Leakage and Dynamic Glitch Power Minimization Using MIP for Vth Assignment and Path Balancing Yuanlin Lu and Vishwani D. Agrawal Auburn University ECE Dept., Auburn, Alabama, USA PATMOS’05, Leuven, Belgium, September 21-23, 2005

  2. Problem Statement • Design a CMOS Circuit : • with dual-threshold devices to globally minimize subthreshold leakage • with delay elements to eliminate all glitches • to maintain specified performance • Allow Performance-Power tradeoff

  3. Power Consumption in CMOS Circuits CL Dynamic Switching Power + Short Circuit Power + Leakage Power

  4. Leakage and Delay • Increasing Vth can exponentially decrease Isub • But, gate delay increases at the same time where αmodels channel effects (long channel α = 2, short channel α = 1.3) • While using dual Vth techniques, must consider the tradeoff between leakage reduction and performance degradation

  5. Some Previous References on Leakage Reduction and Glitch Power Reduction • Leakage Power Minimization by Dual-Vth CMOS Devices • Heuristic Algorithms (locally optimal solution) • Q. Wang and S. B. K. Vrudhula, "Static Power Optimization of Deep Submicron CMOS Circuits for Dual VT Technology," Proc. ICCAD, 1998, pp. 490-496. • L. Wei, Z. Chen, M. Johnson and K. Roy, “Design and Optimization of Low Voltage High Performance Dual Threshold CMOS Circuits,” Proc. DAC, 1998, pp. 489-494. • Linear Programming (globally optimum solutions) • D. Nguyen, A. Davare, M. Orshansky, D. Chinney, B. Thompson and K. Keutzer, “Minimization of Dynamic and Static Power Through Joint Assignment of Threshold Voltages and Sizing Optimization,” Proc. ISLPED, 2003, pp. 158-163. • F. Gao and J. P. Hayes, “Gate Sizing and Vt Assignment for Active-Mode Leakage Power Reduction,” Proc. ICCD, 2004, pp. 258-264 • Dynamic Glitch Power Elimination by Linear Programming • T. Raja, V. D. Agrawal and M. L. Bushnell, “Minimum Dynamic Power CMOS Circuit Design by a Reduced Constraint Set Linear Program,” Proc. 16th International Conference on VLSI Design, 2003, pp. 527-532.

  6. New MIP: A Mixed Integer Linear Programfor Leakage and Glitch Power Reduction • Objective Function: Minimize {Total leakage + No. of glitch suppressing delay elements} • Alternative objective function (linear approximation): Minimize {Total leakage + Total glitch suppressing delay}

  7. Objective Function Minimize { ΣXi ILi + (1-Xi)IHi all gates i + Σ ΣΔdij} all gates i→ j Where Xi = 1, gate i has low Vth, leakage = ILi Xi = 0, gate i has high Vth, leakage = IHi Δdij= delay inserted between gates i and j for glitch suppression Xi= [0,1] is integer, Δdijis real variable ILiand IHi are constants for gate i determined by SPICE

  8. MIP:Variables and Constants Each gate has four variables and four constants: Integer Variable: • Xi:[0,1], specifies gate threshold voltage Continuous-valued Variales: • Ti: latest time at which the output of gate i can produce an event after the occurrence of an event at primary inputs. • ti: earliest time at which the output of gate i can produce an event after the occurrence of an event at primary inputs. • Δdi,j: delay of inserted delay element at the jth input of gate i. Constants Determined by Spice Simulation • ILi and IHi: Leakage currents for low and high thresholds • DLi and DHi: Delays for low and high thresholds

  9. MIP - Constraints • Circuit delay constraint for each PO i: • Tmaxcan the delay of critical path or clock period specified by the circuit designer • Glitch suppression constraint for each gate i: • Constraints (g-2,3,4) make sure that Ti - ti < difor each gate, so glitches are eliminated

  10. MIP - gate constraints explained • Constraints 1 & 2 let T2be the largest arrival time at gate 2 output • Constraints 3 & 4 let t2 be the earliest arrival time at gate 2 output • Constraint 5 makes sure that T2- t2< d2 • D2 can be a larger delay (high Vth) or a smaller delay (low Vth) (t2,T2) (t0,T0) (1) (2) (3) (4) (5)

  11. Power-Delay Tradeoff ExampleA 14-Gate Full Adder Unoptimized Circuit Optimized Circuit @ Tmax=Tc Optimized Circuit @ Tmax=1.25 Tc

  12. Choices for a Delay Element • Two cascaded-inverter buffer - consumes additional subthreshold leakage and dynamic power: • All delay buffers are on non-critical paths and are assigned high Vth, to reduce leakage overhead • Transmission gate (on state) – increases resistance • Smaller area overhead • No subthreshold leakage • Possible capacitance increase • Used before • T. Raja, V. D. Agrawal and M. L. Bushnell, “Variable Input Delay CMOS Logic for Low Power Design,” Proc. 18th International Conference on VLSI Design, January 2005, pp. 598-605. • T. Raja, V. D. Agrawal and M. L. Bushnell, “Variable Input Delay CMOS Logic Design for Low Dynamic Power Circuits,” PATMOS’05.

  13. Delay Element Implementation * size of buffer: W/L: N1:315/70 P1:630/70 N2:175/70 P2:350/70 (a) Transmission Gate (b) Buffer

  14. Leakage reduction and performance tradeoff 27℃, 70nm

  15. Leakage Reduction and Performance • As we allow increase of Tmaxfrom the smallest value Tc, more leakage power can be saved, because more gates can be assigned high Vth. • But, the trend slows down. • When Tmax ≈ 1.3 Tc, the reduction trend saturates, because almost all gates have been assigned high Vth, and there is no more optimization space left. • The maximum leakage reduction can be 98%.

  16. Comparing Dynamic & Leakage Power • Leakage (increases with temperature): • Determined by Spice simulation of gates at 90ºC • Added up for all gates of circuit optimized by MIP • Dynamic power (depends on node activity and capacitance): • Node capacitances for optimized circuit estimated • Gate delays determined by Spice simulation of gates • Activity determined by event driven discrete-time simulator using 1,000 random vectors applied with 120% Tc clock period

  17. Leakage, Dynamic and Total Power Comparison 90℃, 70nm

  18. Conclusion • A new mixed integer linear programming technique • Simultaneous minimization of leakage (dual-Vth) and elimination of glitches (path delay balancing) • Global tradeoff between power and performance • Experimental results shows that 96%, 40% and 70% reduction in leakage, dynamic (glitch) and total power, respectively. • Future directions: • Include gate sizing for switching capacitance reduction and leakage reduction • Allow dual-supply voltages for reduction of power components • Robust optimization for process variations

  19. Thank You All !Questions?

More Related