1 / 16

Image Compression Based On BTC-DPCM And It ’ s Data-Driven Parallel Implementation

Image Compression Based On BTC-DPCM And It ’ s Data-Driven Parallel Implementation. Author : Xiaoyan Yu 、 Iwata, M. Source : Image Processing, 2005. ICIP 2005. IEEE International Conference on Speaker : Cheng-Jung Wu Advisor : Wen-Chien Chen. Outline.

major
Download Presentation

Image Compression Based On BTC-DPCM And It ’ s Data-Driven Parallel Implementation

An Image/Link below is provided (as is) to download presentation Download Policy: Content on the Website is provided to you AS IS for your information and personal use and may not be sold / licensed / shared on other websites without getting consent from its author. Content is provided to you AS IS for your information and personal use only. Download presentation by click this link. While downloading, if for some reason you are not able to download a presentation, the publisher may have deleted the file from their server. During download, if you can't get a presentation, the file might be deleted by the publisher.

E N D

Presentation Transcript


  1. Image Compression Based On BTC-DPCM And It’s Data-Driven ParallelImplementation Author:Xiaoyan Yu、Iwata, M. Source :Image Processing, 2005. ICIP 2005. IEEE International Conference on Speaker : Cheng-Jung Wu Advisor : Wen-Chien Chen

  2. Outline • Introduction • Adaptive BTC on data-driven processing system • Adaptive BTC algorithm • Data-driven implementation • Experimental evalution • Conclusion

  3. Introduction • Image compression standards endure too heavy computational load in spite of good reconstructed quality with a very low bit rate • The rate-distortion performance of the original BTC • VQ、DCT • AMBTC、ABTC • Reconstructed quality and computational complexity • ABTC algorithm coupled with DPCM

  4. Adaptive BTC on data-driven processing system • Most of the existing coding schemes do not care about their implementation in total • An image compression algorithm and its implementation are considered as an integrated system • ABTC • Realize a fast coding on system-on-chip (SoC) • Guarantee the reasonable image quality and compression ratio

  5. Non-overlapping 4x4 pixel blocks Mean value ( ) Absolute moment ( AM ) Adaptive BTC algorithm

  6. Each luminance block a uniform block a normal block a pattern block Decoder a uniform block reproduce the image a normal block a pattern block Adaptive BTC algorithm

  7. DPCM algorithm • Improve the bit rate with very small distortion of image quality • DPCM • neighboring pixels possess a high degree of correlation within an image

  8. Three arbitral approaches two uniform blocks two consecutive normal blocks two adjacent pattern blocks DPCM algorithm

  9. Data-driven implementation • (a) illustrates a dataflow graph that sums up 16 input pixels of a block and calculates its mean by a 4 bit right-shift operation. • In this case, an intermediate accumulated sum is fed back to the add operator repetitively • The longest critical path influences the total pixel rate of the ABTC program.

  10. Data-driven implementation • (b) shows data-driven implementation by which the feedback path is distributively stuffed into each compound operator (read & add) so that the execution time of the critical path can be minimized at the software level • Accepts a stream of 8 packets (i=1, …,8) each of which holds two neighbor pixels in a 4 x 4 block

  11. Data-driven implementation • Response time ( ) • (a):t  the time of the second pixel in a block image arrivingat add function • (b):t’  the time of the second pixel in a block image arriving at add function • In case of DDMP

  12. Human is more sensitive to luminance changes rather than chrominance variances in an image. Thus, as for every chrominance block, the mean value is only calculated Experimental evalution

  13. Visual quality of the proposed algorithm is competitive to that of JPEG2000 while its computational complexity is much less than that of JPEG2000 Experimental evalution

  14. Experimental evalution • The data-driven implementation of ABTC algorithm was performed using the variant number of processors on a single chip

  15. Conclusion • ABTC algorithm coupled with DPCM can achieve a better trade-off between reconstructed quality and computational complexity • Both concurrent and pipelined parallelism inherent in the adaptive BTC were exploited and implemented on the DDMP chip

More Related