1 / 14

Summary of Environmental, Health and Safety Chapter ITRS 2005

Summary of Environmental, Health and Safety Chapter ITRS 2005. ESH ITWG. December 13, 2005 Seoul, Korea. Outline. Revision of Difficult Challenges Chemical/Material Management Changes Clarify completion of ESH Technology Requirements Chemical Screening Tool Revisions.

maxine
Download Presentation

Summary of Environmental, Health and Safety Chapter ITRS 2005

An Image/Link below is provided (as is) to download presentation Download Policy: Content on the Website is provided to you AS IS for your information and personal use and may not be sold / licensed / shared on other websites without getting consent from its author. Content is provided to you AS IS for your information and personal use only. Download presentation by click this link. While downloading, if for some reason you are not able to download a presentation, the publisher may have deleted the file from their server. During download, if you can't get a presentation, the file might be deleted by the publisher.

E N D

Presentation Transcript


  1. Summary of Environmental, Health and Safety ChapterITRS 2005 ESH ITWG December 13, 2005 Seoul, Korea

  2. Outline • Revision of Difficult Challenges • Chemical/Material Management Changes • Clarify completion of ESH Technology Requirements • Chemical Screening Tool Revisions

  3. ESH Difficult Challenges 1 - Identify the top ESH difficult challenges for continuously improving performance, increasing integration, and/or decreasing manufacturing cost in both the near-term and long-term. 2 - Provide the basis for cross thrust analysis (ESH Filter) of the technology thrust requirements. 3 - Provide the one area in the ITRS where ESH regulatory, legislative and policy considerations can be integrated in the ITRS process.

  4. #1 Chemical and Materials Management • New chemical assessment • Chemical data collection • Chemical exposure management

  5. #2 Process and Equipment Management • Chemical reduction • Environment management • Water and energy conservation • Consumables optimization • By-products management • Chemical exposure management • Equipment ergonomics • Design for maintenance

  6. #3 Facilities Energy and Water Optimization • Conservation [energy and water] • Heat removal • Global warming emissions reduction

  7. #4 Sustainability and Product Stewardship • End-of-life disposal/reclaim • Product content/material declaration • Design for ESH • Process chemistries • Device power requirements • Supply chain issues

  8. Chemical/Materials Management Revise “USE” metric to a “UTILIZATION” value Replace generic recycling requirements with specific technology recycling Identified general needs for Nanotechnology R&D Specific Identification of developing PFOS alternatives

  9. Emerging Research Materials (ERM) • Continuing need to identify existing ESH restrictions pertaining to ERMs research – Chemical Restrictions Table • New and emerging materials may have unique metrology requirements.

  10. Completion of ESH Technology Requirements The status of some of the entries for 2005 is shown as "YELLOW", because the ESH ITWG felt that there was still some work to be done. However, since the status was closer to the "WHITE" than the "RED", the ITWG elected not to use the "INTERIM SOLUTIONS" color code for these line items.

  11. Chemical Restrictions Screening Tool

  12. KEY FOR CHEMICAL RESTRICTIONS TOOL Key: Red - restriction only applies to product content; if the material is not ultimately contained in the final product, there is no use restriction. Blue – restriction applies both for process use and containment in final product, but restriction level is higher if material is ultimately contained in final product. Black - Restriction level is the same regardless of whether the material is included in the final product or merely used in the process. The tool is meant to focus only on the potential for legal limitations on the use of a substance, and is not meant to substitute for the broader risk assessment or for the more thorough EHS review performed as part of chemical use approval. CAS #s of specific chemicals covered and other additional details are included in the detailed chemical list file found at put excel spreadsheet link here.

  13. 2006 ITRS Update ESH Focus Areas • Energy • PFOS • Process By-Product Burden • Material Utilization • Recycle • Treatment Systems • Improve ESH Metrics for technology

  14. ESH Chapter ITWG Representatives ESIA – Hans-Peter Bipp (Infineon) - Francesca Illuzzi (ST Microelectronics) JSIA – Takayuki Oogoshi (NEC) - Junichi Aoyama (SONY) KSIA – Ho-Song Hwang (Samsung) - Geunmin Choi (Hynix) SIA – Jim Jewett (Intel) - Walter Worth (Sematech) TSIA – C.Y. Kao (UMC)

More Related