1 / 48

Áramkörszimuláció

Áramkörszimuláció. a mikroelektronikai tervezésben. Áramkörszimuláció. Tarnzisztor szintű vagy analóg szimuláció Az ellenőrzés eszköze pl.: standard cella tervezésénél, analóg áramköri tervezésnél, azaz minden olyan esetben, amikor az áramkört

signa
Download Presentation

Áramkörszimuláció

An Image/Link below is provided (as is) to download presentation Download Policy: Content on the Website is provided to you AS IS for your information and personal use and may not be sold / licensed / shared on other websites without getting consent from its author. Content is provided to you AS IS for your information and personal use only. Download presentation by click this link. While downloading, if for some reason you are not able to download a presentation, the publisher may have deleted the file from their server. During download, if you can't get a presentation, the file might be deleted by the publisher.

E N D

Presentation Transcript


  1. Áramkörszimuláció a mikroelektronikai tervezésben

  2. Áramkörszimuláció • Tarnzisztor szintű vagy analóg szimuláció • Az ellenőrzés eszköze pl.: • standard cella tervezésénél, • analóg áramköri tervezésnél, azaz minden olyan esetben, amikor az áramkört • tranzisztor szintű kapcsolási rajz, illetve • “kézi” tervezésű layout formájában terveztük meg

  3. Szimulátor: Reprezentáció: Absztrakciós szint: Viselkedési leírás Specifikáció VHDL-ben vagy Verilog-ban Rendszer szintű tervezés Rendszer szimuláció Szintézis Logikai tervezés Logikai szimuláció Sémaeditor Struktúrális leírás Layout generálás időzítési paraméterek Tranzisztor szintű tervezés Áramkörszimuláció Layout leírás Layout editor tervezési szabályok eszközparaméterek Fizikai eszközszimuláció Technológiai szimuláció Optimalizálás Az áramkörszimuláció helye

  4. Az áramkörszimuláció helye • Digitális tervezésnél: Nem találkozunk vele, mert az áramkörtervező ezeken az absztrakciós szinteken (rendszer szint, logikai séma) nem tervez. • Standard cella tervezése: A cellát tranzisztor szinten tervezzük, tehát szükség van áramkörszimulációra. • Analóg tervezés: Tranzisztor szinten történik, az ellenőrzés eszköze az áramkörszimulátor.

  5. Tranzisztor szintű kapcsolási rajz Tranzisztor szintű kapcsolási rajz Sémaeditor netlista Pre-layout szimuláció:funkció ellenőrzése Áramkörszimulátor Layout szintézis LVS Layout visszafejtés Layout terv Layout terv Layout editor DRC: tervezési szabályellenőrzés netlista A szimuláció mellett egyéb ellenőrzések is történnek Post-layout szimuláció:a megvalósítás ellenőrzése Áramkörszimulátor Az áramkörszimuláció helye

  6. Áramkörszimulációs programok • A legismertebb: SPICE • Berkeley SPICE • PSPICE • egyéb kerekedelmi verziók • BME-EET: TRANZ-TRAN (1969…2003…) • PC-s DOS-os verzió • elektro-termikus verzió: SISSI • Aplac (Helsinki Műszaki Egyetem) • SABER • ...

  7. Egy áramkörszimulációs program struktúrája GUI Preprocesszor netlista Gerjesztések, vezérlő utasítások Szimulációs mag (solver vagy engine) Katalógus Eredmény file-ok Posztprocesszor

  8. A kezelői felület (GUI) • A tervező rendszer szolgáltatásait igénybe véve is kialakítható, lásd Cadence Opus • composer • waveform megjelenítő • A szimulációs rendszer része lehet • PSPICE • TRANZ-TRAN (DOS, SISSI)

  9. Egy áramkörszimulációs program struktúrája

  10. Egy áramkörszimulációs program struktúrája

  11. A szimulációs mag felépítése netlista Hálózategyenletek generálása Matematikai megoldó algoritmusok Eszközmodellek Katalógus: eszközparaméterek

  12. A szimulációs mag • Hálózategyenletek generálása = Kirchhoff-egyenletek automatikus felírása • Matematikai megoldó algoritmusok: Kirchhoff-egyenletek megoldás • Eszközmodellek: Félvezető eszközök, passzív alkatrészek, generátorok, stb. Ezek pontossága határozza meg, hogy a szimuláció mennyire lesz jó.

  13. Áramkörszimulációs programok osztályozása Analízis fajták nemlineáris programoknál: • nemlin. DC (munkapont meghatározása) • DC transzfer kar. számítás (sorozatos DC) • nemlin. tranziens (időtartománybeli) • frekvenciatarománybeli (munkaponti linearizálással) A matematikai algoritmus mindig az analízis fajtától függ.

  14. Megoldó módszerek • Csomóponti potenciálok módszere • elsődleges jellemzők a hálózat csomóponti feszültségei egy adott referncia ponthoz képest • könnyen implementálható • jól illeszkednek hozzá a félvezető modellek (feszültség függvényében áramok) • ez az elterjedt módszer • induktivitás és feszültségforrás csak veszteséges modellel írható le • Hurokáramok módszere • Állapotváltozós módszer • elsődleges jellemzők: a kapacitások töltése, induktivitások fluxusa • kicsit bonyolultabb az implementációja • minimális egyenletszám, ideális alkatrészek

  15. Megoldó módszerek A matematikai algoritmusok • lin. DC • M csomópontnál: M-ismeretlenes lineáris egyenletrendszer megoldása (pl. Gauss-elimináció) • nemlin. DC • M csomópontnál: M-ismeretlenes nemlineáris egyenletrendszer megoldása (pl. Newton-Raphson it.) • kisjelű AC • M csomópontnál: M-ismeretlenes komplex együtthatós lineáris egyenletrendszer megoldása (pl. Gauss-elimináció) • nemlin. tranziens • M-ismeretlenes nemlin. differenciál-egyenlet rendszer megoldás (pl. reverse-Euler módszer)

  16. Szolgáltatások • Lineáris DC szimuláció • Nemlineáris DC szimuláció, • DC transzfer-karakterisztika számítása • Frekvencia-tarománybeli szimuláció A modelleket egy DC munkapont körül linearizálják • Kisjelű AC szimuláció egy adott frekvencián • Bode diagramok számítása • Nemlináris (nagyjelű) tranziens szimuláció • Tolerancia analízis • Zaj analízis • Torzítások vzisgálata • Termikus hatások figyelembevétele, stb.

  17. Alkatrész készlet • Passzív alkatrészek - lináris elemek • koncentrált paraméteres R, C (ideális), L (veszteséges), • tápvonal modellek • Beépített makro modellek: trafó, lin. OpAmp • Generátorok - lináris elemek • feszültséggenerátor (veszteséges, belső ellenállása van) • áramgenerátor (ideális, belső ellenállása végtelen) • vezérelt generátorok (feszültségvezérelt I, U) • Félvezető eszközök - nemlináris elemek • dióda • bipoláris tranzisztor • JFET • MOSFET • Felhasználó által definiálható modellek: • makro modellek = paraméterezhető részáramkörök • szubrutinnal (egyenlettel) megadható modellek

  18. U I(U) • Modell topológia Modellek • A szimulációs magba beépített egyenletek: beépített modellek Pl. ideális dióda modellje: I =Io [exp(U/mUt)-1] • Modellparaméterek A SPICE-ban a paraméterek halmazát is modellnek szokták nevezni.

  19. Egy MOS tranzisztor modelljének topológiája • Bulk csomópont (bulk hatáshoz kell) • Termikus ág (saját melegedés hatása, termikus csatolás), árama:P=Id*Uds • Hőmérséklet-vezérelt elektromos ágak

  20. Modellek • A modellek “pontossága” függ • a beépített egyenletektől • a paraméterkészlet minőségétől • Például MOS tranzisztorok esetében • MOS1 (TRTR), level1 (SPICE) • négyzetes karakterisztika • MOS2 (TRTR), level2 / level3 (SPICE) • bulk hatás, • rövid- és keskenycsatornás effektusok • küszöb alatti áramok (SPICE) • saját melegedés (TRTR) • EKV modell (TRTR), BSIM3 modell (SPICE) • szubmikronos eszközökre is jók

  21. Követelmények a modellekkel szemben • A modellek illeszkedjenek a megoldó algoritmushoz. Pl. csomóponti potenciálok módszere esetében I(U) karakterisztikákat szolgáltassanak • bemenet: ágfeszültség • kimenet: ág árama, (differenciális) vezetése, ág kapacitása • A valóságos eszközöket minél hűebben írják le • Egyszerűek, kis futási idejűek legyenek: Explicit, analítikus összefüggés, ne legyen belső iteráció • Numerikus stabilitás (ne szálljon el extrém bemenetre sem - pl. dióda) • Könnyen meghatározhatóak legyenek a paraméterek

  22. Követelmények a megoldó algoritmusokkal szemben • Az egyes szimulációk eredményei konzisztensek legyenek: • AC(f 0Hz)  DC • Tranziens eredmények t = 0s-ban egyezzenek meg a DC eredményekkel • Nagyon lassú tranziens  DC transzfer kar. • Gyorsak és RAM takarékosak legyenek • ritka mátrix technikák • Numerikus stabilitás, jó konvergencia tualjdonságok • módosított Newton-Raphson iteráció • adaptív lépésköz szabályozás tranziensnél

  23. Elektro-termikus szimuláció • Az áramkörök saját melegedése hatással van a működésükre • Ez különösen igaz egyes analóg áramkörök esetében • munkapont elmozdul, • termikus visszacsatolás befolyásolja a dinamikus viselkedést, • A layout kialakításánál ügyelni kell erre (pl. szimmetrikus layout - lásd matching rules) • Még digitális áramköröknél is szükség lehet a termikus hatások szimulációjára...

  24. Introduction • SISSI: Simulator for Integrated Structures by Simultaneous Iteration • Experimental software package on top of a particular design kit within Cadence Opus • Tools of our own development: THERMODEL, TRANS-TRAN, THERMAN • Glued by scripts in the SKILL language of Cadence Opus • Schematic entry, layout extraction, results visualization - system services of Opus • Benchmark problems simulated with success • The renewal of the package • own GUI with draft layout editor

  25. Experiences: CMOS OpAmp DC simulation; good agreement between simulation and measurement

  26. Experiences: micro-thermostat Tight thermal coupling, effect of the encapsulation. Good agreement between simulation and measurement

  27. The general flowchart

  28. Design flows Schematic entry + draft layout: Simultaneous editing of schematics and layout (for components relevant from thermal point of view)

  29. Design flows Schematic entry + draft layout:

  30. Design flows Layout-based electro-thermal simulation:

  31. Design flows Layout-based electro-thermal simulation: layout extractor

  32. Layout extractor • Techno file editing:

  33. Layout extractor • Defining the include mask: SIAL layer: for extracting Si-Al contacts to consider the Seebeck-effect if needed

  34. Layout extractor • Result: layout of dissipating & temperature sensitive elements (THERMAN & CIF formats)

  35. The complete thermal model • Each element of the matrix of thermal couplings can be described as presented • If the electronic circuit contains N thermally coupled (dissipative and/or temperature sensitive) components, N2 ladders are needed. For N=2:

  36. Thermal impedances are modeled by Foster networks: The time discretised resistive equivalent of a complete Foster chain: C1 C2 C3 JE1 JE2 JE3 JEk g1 gk g2 g3 R1 R2 R3 1 2 3 k 1/R1 1/R2 1/R3 1/Rk The time discretised resistive equivalent of a capacitor:  g = C / t C UC C  UCe/ t g1 = C1 / t JE1 = C1  (UC1-UC2)/ t Modeling thermal impedances

  37. Electro-thermal device models • A basic set of electro-thermal device model has been implemented • Need for advanced models - we are working on implementation of an electro-thermal EKV MOS model

  38. Presentation of the results • Nodal voltages, device temperatures, • Device dissipations, • Function plots: • transient, • transfer • Bode • Temperature maps • 2D or axonometric • profile cross-sections

  39. Presentation of the results

  40. Example: OpAmp Benchmark example of Solomon demonstrating the effect of the thermal feedback on operational amplifiers. Two layout arrangements with different package structures have been studied.

  41. Effect of layout arrangement DC transfer characteristics depend on the layout symmetric layout - symmetric x-fer char. asymmetric layout - asymmetric x-fer char.

  42. Effect of package structure DC transfer characteristics depend on the package structure Frequency-domain behavior depends on the package structure

  43. Effect of package structure Transient behavior also depends on the package structure

  44. Electro-thermal simulation on gate level (logi-thermal simulation) • On-line toggle counting during Verilog simulation • Power calculation from the toggle counts together with the timing information. This will give for each instance the total energy dissipated. • Annotate for each cell instance its power into the physical representation, and extract the whole in a format compatible with the thermal simulator the thermal simulator • Run the thermal simulator (THERMAN) in DC mode. The power data corresponds to a steady-state simulation. • Compute the total power of the design by making the sum, and use this value as a single input to the lumped RC model for package simulation.

  45. Some research resultsLogi-thermal simulation: feasibility study Temperature gradients on the chip surface: 8-bit counter, 1 micron CMOS process, 25 MHz, 2 modes of operation Floorplan taken from Opus, event-count density from Verilog, own logi-thermal gate models, own thermal simulator Implementation now in progress in Grenoble using MicReD’s THERMAN

  46. Logi-thermal simulation examples Design layout Digital circuit with 2 RAM blocks (0.6µm CMOS, 20k gates, 40 MHz, 15mm2). Maximum temperature gradient was 14 degrees.

  47. Design layout Temperature profile of a 32x32 bits combinational multiplier, (0.18µm CMOS, 7k gates, 200MHz, 0.085 mm2)

More Related