1 / 28

Raimund Ubar TTÜ, ETA uurija-professor raiub@pld.ttu.ee ttu.ee/ˇraiub/

Eesti Teaduste Akadeemia 24. jaan. 2006 Uued meetodid digitaalsüsteemide diagnostikas 2005 a. uurimistöö aruanne. Raimund Ubar TTÜ, ETA uurija-professor raiub@pld.ttu.ee www.ttu.ee/ˇraiub/. Sisukord. Sissejuhatus Uurimisteema, probleemid ja motivatsioon Uurimistulemused a. 2005

talisa
Download Presentation

Raimund Ubar TTÜ, ETA uurija-professor raiub@pld.ttu.ee ttu.ee/ˇraiub/

An Image/Link below is provided (as is) to download presentation Download Policy: Content on the Website is provided to you AS IS for your information and personal use and may not be sold / licensed / shared on other websites without getting consent from its author. Content is provided to you AS IS for your information and personal use only. Download presentation by click this link. While downloading, if for some reason you are not able to download a presentation, the publisher may have deleted the file from their server. During download, if you can't get a presentation, the file might be deleted by the publisher.

E N D

Presentation Transcript


  1. Eesti Teaduste Akadeemia 24. jaan. 2006Uued meetodid digitaalsüsteemide diagnostikas 2005 a. uurimistöö aruanne Raimund Ubar TTÜ, ETA uurija-professor raiub@pld.ttu.ee www.ttu.ee/ˇraiub/

  2. Sisukord • Sissejuhatus • Uurimisteema, probleemid ja motivatsioon • Uurimistulemused a. 2005 • Defekt-orienteeritud diagnostika • Rikete simuleerimise kiirendi • Testide analüüs ja süntees • Isetestimine • Uurimiskeskkonna arendus • Kokkuvõte

  3. Sissejuhatus: Diagnostika väljakutsed • Valdkonna ajalugu – ca 50 aastat • Konverentsid: ITC, ETS, VTS, ATS, LATW (ca 250 artiklit aastas) • Ajakiri: JETTA 10 aastat ilmunud, ca 40-50 artiklit aastas; s.h. 3 artiklit Eestist) • Uued väljakutsed (paradigmade muutused, konventsiaalse teooria kriis) • System-on-Chip, Network-on-Chip (miljard transistori ühes kiibis) Rent’i reegel: V = kT • Transistori testimine on muutunud kallimaks kui selle valmistamine (!) Design for testability • Eilse tehnoloogiaga (tester) ei saa testida tänast tehnoloogiat (!) Built-in Self-Test • Kuidas defineerida (ja mõõta) kriteeriume: test cost, test quality – on probleem • Keskne probleem: Kuidas testida üha keerukamaks muutuvaid süsteeme üha rangemate kvalteedinõuete juures?

  4. Sissejuhatus: Keerukus ja diagnostika Keeruka süsteemi testimine: Näide: 32-bitine summaator Testimise signaalid Testitav komponent (ühendus) Funktsionaalne test: 264 = 1019 testvektorit 300 aastat 1GHz sageduse juures Tulemuse jälgimine x1 y Struktuurne test: Ca 1000 ühendust, igal0 ja 1 rike, Kokku 2000 riket 8 testvektorit  2000 Aga: Kordseid rikkeid – 31000-1 xn Aktiveeritud plokid

  5. Sissejuhatus: Miks ei tööta valge müra Probleemid:testi kvaliteet ja testi aeg Motivatsioon juhuslike arvudega testimiseks: - genereerimine lihtne - kõrge algefektiivsus Veasignaal & Testi kvaliteet 100% 1 LFSR Dekooder Loendur Reset Kui signaaliReset = 1tõenäosus on 0,5 siis loendur ei tööta ja vajalik signaal 1ei jõua kunagi pärale Testimise aeg

  6. Uurimisteema: Testide süntees ja analüüs Diagnostikaülesannete lahendamine Testide süntees ja analüüs Re-disain Diagnostika Testitavuse parandamine Isetestimine Mudel Rikked Defektid Struktuur, funktsioonid Spetsifikatsioon Disain Süsteem

  7. Motivatsioonid uurimistööks Probleemid Funktsionaalne terviklik test Klassikalised loogikatasandi meetodid Süsteem Keerukus Täpsus Hierarhiline lähenemisviis Kõrgtasandi mudelid Käskude tasand Madaltasandi mudelid Füüs.defektid Täpsus Keerukus Isetestiv komponent Võimalikud lahendused

  8. 2005. a. töötulemused Digitaalsüsteem Mudelid Isetestimise meetodid ja optimeerimine Defektid Boole’i difvõrrandid Funktsioonid, struktuur Otsustusdiagrammid Hierarhiline diagnostika Defekt-orienteeritud dagnostika Testide analüüsi meetodid Testide sünteesi meetodid Rikete simuleerimise riistvaraline kiirendi Defekt-orienteeritud testigeneraator Perspektiivsed uued uurimistöö suunad Uurimiskeskkond, e-õppe tarkvara

  9. Y = F(x1, x2, x3) Olek q y x1 & & x2 x3 Y = F(x1, x2, x3,q) 1. Defekt-orienteeritud diagnostika Uus kontseptsioon: universaalne rikke mudel Laiendus: sekventsiaalse iseloomuga rikked Rikketa objekt Rikkega objekt Meetod: d = 1, defekti muutuja Lahendus: Lühisrike muudab kombinatsioonskeemi sekventsiaalseks

  10. Interfeis tasemete vahel 1. Defekt-orienteeritud diagnostika Uuendus: Defektide klassi laiendamine (defektid kommunikatsiooniahelates) Funktsioonid Struktuur Ühtse rikkemudeli filosoofia: F W Test F Süsteem: k Fault model Moodulite võrk Skeem F W k WFk Komponent WFk k Test S Moodul W F WSk k ki Lühis Komponentide võrk F W ki Rikked komponentides Rikke mudel: W = WFk WSk d Komponent: W Test ki F ki Transistoride võrk Rikked struktuuris

  11. 1. Defekt-orienteeritud testigeneraator Koostöö: TU Darmstadt, TU Warsaw Katsete tulemusi: Uudsus: Defektide liiasuse (mitteolulisuse) tõestamise meetod

  12. 1. Defekt-orienteeritud testigeneraator • Uudsus: • Näitasime, et enamus komponentide vahelisi rikkeid on kombinatoorsed ehk kergesti testitavad • Uus meetod: Layout for Testability(a la Design for Testability) ehk soovituste genereerimine töökindluse tõstmiseks

  13. 1. Defekt-orienteeritud diagnostika Ühtse rikkemudeli filosoofia: Madalamal tasemel sünteesitakse komponendi B`+ C´ test y 1 Süsteem: Kõrgtaseme otsustusdiagramm x1 1 0 x3 x2 Defektid M=A.B.C.q 0 A 0 C ¢ B’ + C’ q i x5 x4 x A’ + B’ q i B q Bj,Cj: 1) 1001001 2) 0111011 .................. n) 0110011 #1 q’ = 1 xA = 1 For j = 1,n: B = Bj´+ Cj’ #5 1 0 A 1 C x6 x7  x A’ + 1 i A  i C’ q q #4 #3 1 B Komponent: Binaarne otsustusdiagramm 0 B’ + C’ 0 0 A i q x x A’ + B’+C’ i A C B #2 2  B’ Kõrgtasemel toimib B’+C’ test rikkemudelina q #5 3 0 C A’ + B’ x i B C q  B’ i #5 q #5 1 A  B’ + C’ i 1 C q  C’ i #5 q 4 #5

  14. 2. Rikete simuleerimise kiirendi Rekonfigureeritaval loogikal põhinevrikete simuleerimise kiirendi: AlgoritmC programm VHDL programmProgrammeeritav riistvara Uudsed lahendused: • Laiendus sekventsiaalsetele skeemidele • Testide genereerimine on-line samas skeemis • Kiiruse tõus tarkvara- lahendustega võrreldes – 2 suurusjärku

  15. 3. Testide analüüs Uued rikete analüüsi meetodid • Rikete deduktiivse analüüsi meetod • Paralleelne rikete reversiivanalüüsi meetod Rikked Uuendused: Paralleelne simuleerimine Simuleerimine kõrgemal makrotasemel (SSBDD) Deduktiivne analüüs Objektide klassi laiendamine puukujulistelt skeemidelt suvalistele komb.skeemidele Paralleelne reversiiv analüüs Rikete tabel Testid

  16. 3. Testide analüüs Uus rikete paralleelse reversiivanalüüsi meetod Koonduva hargnemisregiooni modelleerimine Boole’i tuletistega: Boole’i tuletiste kasutamine võimaldab paralleelset rikete arvutust Tervikliku skeemi modelleerimine rekursiivsete Boole’i tuletistega: Uuendus: skeemide klassi laiendamine

  17. 3. Testide analüüs Eksperimentaalsed tulemused ISCAS katseskeemidel:

  18. 4. Testide süntees Motivatsioon: - laialt levinud scan-path paradigma nõuab kulukat riistvara - orientatsioon komponentide testimisele ei lahenda süsteemse testi probleemi Uus meetod on tee mõlema probleemi lahendamiseks

  19. 4. Testide süntees Uus idee: 1) leida minimaalne katkestuspunktide hulk globaalsetele tagasisidedele 2) sünteesida test erinevate tagasisidekombinatsioonide juures Tagasisidede katkestus

  20. 4. Testide süntees Kokkupakitud kõrgtaseme otsustusdiagramm: Katkestuskohad Digitaalsüsteem: Katkestuskohad

  21. Embedded Tester C2670 C3540 Test Test access Controller BIST mechanism BIST Tester Memory BIST BIST BIST C1908 C880 C1355 SoC 5. Digitaalsüsteemide isetestimine Protsesside optimeerimine: Energiakulu Aeg Energiakulu • Meetodi idee: • Ligikaudne, aga kiire • energiakulu • hindamise meetod • Iteratiivne lähenemine • hindamiskõvera abil • täpsele optimumile 205 c432 Pseudorandom Deterministic 203 c6288 4 190 c880 6 13 c1908 Total Test Length: 209 19 21 169 c5315 40 46 123 c1355 86 50 73 Aeg 136 48 25 c499

  22. 5. Digitaalsüsteemide isetestimine Funktsionaalne istestimine: Hübriidtest Mälu: Deterministlik test T Juhitavus  Hind Funktsionaalne test MUX Aeg MUX F Mälu Registrite plokk Optimum Andme- osa  Jälgitavus • Uudsus: • Funktsionaalsete • rezhiimide kasutamine • testidena • Andmete valik geneetiliste • algoritmide abil testide • kvaliteedi tõstmiseks EXOR Signatuuranalüsaator Andmed

  23. Teadusuuringute keskkond Uurimistöö stsenaariumid: Süsteemi tasand Loogika tasand Defektide tasand

  24. Teadusuuringute keskkond Kasutatud 90+ asutuses rohkem kui 30 riigis TURBO-TESTER: Methods: Single fault Parallel Deductive Levels: Gate Macro Methods: Deterministic Random Genetic Fault models: Stuck-at-faults Functional faults Test Generation Fault Simulation Fault Location Design Test BIST Simulation Methods: BILBO CSTP Store/Generate Fault Table Fault Diagnosis Test Optimization

  25. E-õppe tarkvara Java appletid tööks loengul, kodus, laboris ja eksamil: Koostöö: TU Ilmenau Saksamaal • 2005 Uued apletid: • Isetestiva süsteemi • testigeneraatori • modelleerimine • Boundary-scan standardi • võimaluste modelleerimine • (Ericsson, SAAB...) • Boole’i funktsioonide • minimeerimine • Otsustusdiagrammide • graafiline editor Süsteemitaseme diagnostika

  26. DEFSIM Defektide uurimise katsestend DEFSIM Koostöö: Saksamaa, Poola, Slovakkia SERVER USB INTERNET DEFSIM: Defektide uurimise katsestend 50 tellimust Euroopa ülikoolidelt USER USER

  27. Muid tulemusi aastal 2005 • Publikatsioone 34 • Monograafia ja eesti-keelne õpik • CC ajakirjad (1.1) – 6 • Peatükid monograafiates – 4 • Toimetatud kogumikud - 2 • Rahvusvaheline koostöö: 24 ühispublikatsiooni 23 teadlasega 8 riigist • Kaks V Raamprogrammi Europrojekti, 2 bilat. projekti Saksamaaga • Kolm VI RP projektitaotlust (1 tagasi lükatud, 2 konkurentsis edasi) • Konverentside korraldamine: • 10th IEEE European Test Symposium (2005) – peakorraldaja • 4th IEEE European Board Test Workshop (2005) – peakorraldaja • 3th IEEE East-West Design & Test Workshop (2005) – aseesimees • 4th IEEE East-West Design & Test Workshop (2006) – aseesimees • IEEE DDECS Workshop (2006) – PR esimees • Juhendamine (kaitsmised): 3 magistritööd, valmiv doktoritöö (E. Ivask) + 6 doktorandi • Kutseid loengutele • Plenaarttekanne ja tutorial 2 konverentsil, • 2 kursust (36 t) magistrantidele Saksamaal ja Rootsis • Auhinnad: • IEEE Computer Society Meritorious Service Award • IEEE Computer Society Golden Core Member Award

  28. Lõpetuseks • Olen väga tänulikAkadeemiale mulle osutatud võimaluse eest pühenduda kolmeks aastaks uurimistööle • Olen väga tänulik Tehnikaülikooli kolleegidele, kes mind selle juures toetasid ning ühises töös osalesid • Oluliseks tulemuseks loen tugeva ja rahvusvaheliselt väga tunnustatud noorte uurijate grupi tekkimist meie laboris nagu Jaan Raik, Gert Jervan, Artur Jutman, aga ka mitmed teised Raimund Ubar

More Related