1 / 49

Assessment Panel for Precision Engineering

Assessment Panel for Precision Engineering. Dr. Michael T. Postek Chief, Precision Engineering Division. Contents. Agenda Mission and Organization MEL PED Resources Developments for PED Operations Accomplishments, Recognition and Awards Division Publications. Agenda.

holt
Download Presentation

Assessment Panel for Precision Engineering

An Image/Link below is provided (as is) to download presentation Download Policy: Content on the Website is provided to you AS IS for your information and personal use and may not be sold / licensed / shared on other websites without getting consent from its author. Content is provided to you AS IS for your information and personal use only. Download presentation by click this link. While downloading, if for some reason you are not able to download a presentation, the publisher may have deleted the file from their server. During download, if you can't get a presentation, the file might be deleted by the publisher.

E N D

Presentation Transcript


  1. Assessment Panel forPrecision Engineering Dr. Michael T. Postek Chief, Precision Engineering Division

  2. Contents • Agenda • Mission and Organization • MEL • PED • Resources • Developments for PED Operations • Accomplishments, Recognition and Awards • Division Publications

  3. Agenda Precision Engineering Division NRC Evaluation Sub-panel Location – PED Conference Room Advanced Measurement Laboratory Sub-Basement 219/A045 x8467 Monday, March 8, 2010 10:30-11:00 PED Division Overview (Michael T. Postek) 11:00 - 11:30 Dimensional Metrology Program Overview - Steven Phillips 11:30 - 12:00 Quality/Standards/Calibrations Overview -Theodore Doiron 12:00 – 1:00 Working Lunch - Division Review Team members engage in Question and Answer session with PED staff  1:00 - 2:00 Dimensional Metrology and Quality Programs Lab tours Gage Calibration 219/F36 - Theodore Doiron Optical Comb and Refractometry, 219/F31, F35 - Jack Stone M48 CMMs, 219/G24 - John Stoup 2:00 - 2:30 Nanomanufacturing Metrology Program Overview - Richard Silver 2:30 - 3:00 Nanomanufacturing Metrology Program Lab Tours Scanning Particle Beam Metrology, 217/E113 - Andras Vladar Defect Inspection/Fuel Cell – Scatterfield/Optics, 217/E116 - Richard Silver 3:00 Break 3:15 - 3:45 Next-Generation Nanometrology Program Overview (John Kramar) 3:45 - 4:15 Next-Generation Metrology Program Lab Tours Atom-based Dimensional Metrology UHV STM 219/A006 - Richard Silver 193 nm Optical Metrology Microscope 219/A002 - Richard Silver 4:15 - 5:30 Questions (needs and requests from panel) 5:30 p.m. Adjourn 5:35 p.m. Panel assembles to travel by shuttle to Joint Working Dinner 6:15 p.m. Joint Working Dinner: Panel members engage in Question and Answer session with NIST staff

  4. Agenda Precision Engineering Division NRC Evaluation Sub-panel Location – PED Conference Room Advanced Measurement Laboratory Sub-Basement 219/A045 x8467 Tuesday, March 9, 2010 7:30 - 8:00 Panel assembles in hotel lobby to travel to NIST by shuttle bus and arrive at meeting room  8:00 -10:00 High-level examples of the type of manufacturing metrology issues presented to and solved by NIST PED Atom-based Metrology for Tip-based Nanomanufacturing - Richard Silver Metrology for Military Body Armor - Steven Phillips Long Length Metrology: 60 m Ranging Facility - Steven Phillips Fundamental Challenges in Defect Inspection - Richard Silver Fuel Cell Research - Eric Stanfield Ballistics: Forensics Infrastructure – Ted Vorburger Modeling Scanning Microscopy for Industrial Metrology - John Villarrubia CLOSED SESSION (PANEL MEMBERS AND NRC STAFF ONLY) 10:00 - 11:00 Division Review Team discusses findings DATA GATHERING SESSION OPEN TO THE PUBLIC 11:00 - 11:45 Division Review Team engages in discussion with the Division Chief and staff CLOSED SESSIONS (PANEL MEMBERS AND NRC STAFF ONLY) Location: Administration Building 101, Employee Lounge 11:45 a.m. Break and Division Review Team leaves the division review to convene with full Panel to deliberate findings

  5. MEL Organization

  6. Precision Engineering Division • The mission of the Precision Engineering Division is to provide the foundation of dimensional measurement that meets the needs of the U.S. industrial and scientific communities. • PED attains that goal by: • Conducting research in dimensional measurements; • Developing new measurement methods; • Providing measurement services; • Developing National and International artifact and documentary standards; • Disseminating the resulting technology and length-based standards.   • It is also within the mission of the Precision Engineering Division to provide dimensional metrology assistance to other federal government agencies in order to address problems and needs that leverage NIST expertise, facilities, and capabilities.

  7. PED Administrative Organization Precision Engineering Division Michael T. Postek, Chief Engineering Metrology Theodore Doiron, Group Leader Large-Scale Coordinate Metrology Steve Phillips, Group Leader Surface Metrology Richard Silver, Group Leader Nanometer-Scale Metrology John Kramar, Group Leader

  8. PED Organization Precision Engineering Division Michael T. Postek, Chief • Supports the Division's mission with a concentration on measurements of one meter or larger. • For example, measurements are made by coordinate measuring machines and frameless coordinate length-metrology systems. • These involve mechanical-probe, laser-ranging, theodolite, and related interferometric systems. Engineering Metrology Theodore Doiron, Group Leader Large-Scale Coordinate Metrology Steve Phillips, Group Leader Surface Metrology Richard Silver, Group Leader Nanometer-Scale Metrology John Kramar, Group Leader

  9. Organization Precision Engineering Division Michael T. Postek, Chief Engineering Metrology Theodore Doiron, Group Leader Large-Scale Coordinate Metrology Steve Phillips, Group Leader Surface Metrology Richard Silver, Acting Group Leader Nanometer-Scale Metrology John Kramar, Group Leader

  10. Organization Precision Engineering Division Michael T. Postek, Chief • Supports the Division's mission with a concentration on measurements of one millimeter to one meter. • These measurements are often of complex shape, such as turbine blades, threaded fasteners, and gears. • Measurements are made by general and special-purpose feature and coordinate measuring systems. Engineering Metrology Theodore Doiron, Group Leader Large-Scale Coordinate Metrology Steve Phillips, Group Leader Surface Metrology Richard Silver, Acting Group Leader Nanometer-Scale Metrology John Kramar, Group Leader

  11. Organization Precision Engineering Division Michael T. Postek, Chief Engineering Metrology Theodore Doiron, Group Leader Large-Scale Coordinate Metrology Steve Phillips, Group Leader Surface Metrology Richard Silver, Group Leader Nanometer-Scale Metrology John Kramar, Group Leader

  12. Organization Precision Engineering Division Michael T. Postek, Chief Engineering Metrology Theodore Doiron, Group Leader Large-Scale Coordinate Metrology Steve Phillips, Group Leader • Supports the Division's mission with a concentration on measurements of one micrometer to one millimeter where surface roughness and microform are critical. • Measurements are made by tunneling microscopes, mechanical profilometry, phase-measuring interferometry and related techniques. Surface Metrology Richard Silver, Acting Group Leader Nanometer-Scale Metrology John Kramar, Group Leader

  13. Organization Precision Engineering Division Michael T. Postek, Chief Engineering Metrology Theodore Doiron, Group Leader Large-Scale Coordinate Metrology Steve Phillips, Group Leader Surface Metrology Richard Silver, Acting Group Leader Nanometer-Scale Metrology John Kramar, Group Leader

  14. Organization Precision Engineering Division Michael T. Postek, Chief Engineering Metrology Theodore Doiron, Group Leader Large-Scale Coordinate Metrology Steve Phillips, Group Leader • Supports the Division's mission with a concentration on measurements of one nanometer to one micrometer. • Measurements are made by tunneling, atomic-force, electron, ion, and visible- and ultraviolet-light microscopies. • The group places special emphasis on satisfying the advanced needs of US microelectronic manufacturing industries. Surface Metrology Richard Silver, Acting Group Leader Nanometer-Scale Metrology John Kramar, Group Leader

  15. Resources

  16. Precision Engineering Division • More accurate accounting - based on labor in the laboratory. • PED has 12 other affiliates who are not on contract such as field service engineers

  17. Precision Engineering Division ++ Current - not inflation adjusted dollars

  18. Precision Engineering Division *ATP phased out; TIP does not fund the labs

  19. Precision Engineering Division • Equipment • Commercial Instruments that have been customized or optimized for NIST purposes • Specially Designed and constructed instruments • Descriptions in Panel Booklet

  20. PED Technical Programs • The Precision Engineering Division has developed three programs to best utilize the talents of its personnel and thus meet its mission with maximum effectiveness. • These three programs are: • Dimensional Metrology • Nanomanufacturing Metrology • Next-Generation Nanometrology • Programs can be composed of cross-group or cross- laboratory projects • Programs discussed by the Program Managers

  21. PED Technical Programs • The work undertaken within the PED programs is highly influenced by industrial drivers that reflect important trends in manufacturing, especially: • Increased Pace of Technological Change. • Rapid innovation in many emerging areas, especially nanotechnology • Need for improved measurement accuracy and precision • Requirement for new and more complex measurements • Continual push for higher quality • Globalization • “Flat-world” supply chains* require measurement traceability to the SI • Greater regulatory requirements • Harmonization of international standards that reflect and support U.S. needs * “The World is Flat” by Thomas L. Friedman. columnist at The New York Times

  22. Drivers for U.S. Manufacturing Innovation and Competitiveness MEL Competence Focus Areas MEL Programs Manufacturing Industry Needs MEL Core Competences • Dimensional Metrology • Mechanical Metrology • Advanced Optics Metrology • Nanomanufacturing Metrology • Next-Generation Nanometrology • Increasing pace of technological change • Product and process innovation • Shorter time-to-market • Growth of international trade • Distributed manufacturing • Continual push for higher quality, better performing, customized products • Increasing productivity and reducing costs • Need to reduce environmental impacts • New safety and security challenges Measurement traceability to the SI (2,3) Compliance with regulatory requirements (2,6) Harmonized international standards that reflect U.S. needs (2,3) Capability to cost-effectively manufacture higher quality, smaller, lighter, stronger, smarter, higher-precision and/or more complex parts and assemblies (4,5) Improved measurement accuracy, precision, and efficiency (1,4) Ability to make new and more complex measurements (1,4) Model-based manufacturing systems and processes (1) Reliable, high performance, cost-effective supply chains (3) Integration and management of manufacturing systems, processes, and equipment (3,4,5) Predictable, well-characterized manufacturing processes, equipment, and systems (1,4) Flexible, reconfigurable manufacturing systems (1,4) Environmentally sustainable manufacturing processes with quantifiable environmental impacts (6) Safe, secure, reliable manufacturing systems (7) Measurement science & rigorous traceability in mechanical, dimensional, & nano-manufacturing domains • Dimensional metrology • Mechanical metrology • Nano-manufacturing metrology • Adv. Mfg. Systems • Measurement Science for Mfg. Robotics and Automation • Robotics & Automation Interoperability Stds • Intelligent Mfg. Industrial Control Systems & Network Stds • Supply Chain Integration • Sustainable & Lifecycle Information-based Mfg. • Simulation-based Mfg Interoperability Stds and Testing • Measurement & standards for: • Manufacturing systems • Manufacturing processes • Manufacturing equipment Development & use of measurement science, standards, test methods, & performance metrics for manufacturing systems, processes, & equipment

  23. Drivers for U.S. Manufacturing Innovation and Competitiveness MEL Competence Focus Areas MEL Programs Manufacturing Industry Needs MEL Core Competences • Dimensional Metrology • Mechanical Metrology • Advanced Optics Metrology • Nanomanufacturing Metrology • Next-Generation Nanometrology • Increasing pace of technological change • Product and process innovation • Shorter time-to-market • Growth of international trade • Distributed manufacturing • Continual push for higher quality, better performing, customized products • Increasing productivity and reducing costs • Need to reduce environmental impacts • New safety and security challenges Measurement traceability to the SI (2,3) Compliance with regulatory requirements (2,6) Harmonized international standards that reflect U.S. needs (2,3) Capability to cost-effectively manufacture higher quality, smaller, lighter, stronger, smarter, higher-precision and/or more complex parts and assemblies (4,5) Improved measurement accuracy, precision, and efficiency (1,4) Ability to make new and more complex measurements (1,4) Model-based manufacturing systems and processes (1) Reliable, high performance, cost-effective supply chains (3) Integration and management of manufacturing systems, processes, and equipment (3,4,5) Predictable, well-characterized manufacturing processes, equipment, and systems (1,4) Flexible, reconfigurable manufacturing systems (1,4) Environmentally sustainable manufacturing processes with quantifiable environmental impacts (6) Safe, secure, reliable manufacturing systems (7) Measurement science & rigorous traceability in mechanical, dimensional, & nano-manufacturing domains • Dimensional metrology • Mechanical metrology • Nano-manufacturing metrology • Adv. Mfg. Systems • Measurement Science for Mfg. Robotics and Automation • Robotics & Automation Interoperability Stds • Intelligent Mfg. Industrial Control Systems & Network Stds • Supply Chain Integration • Sustainable & Lifecycle Information-based Mfg. • Simulation-based Mfg Interoperability Stds and Testing • Measurement & standards for: • Manufacturing systems • Manufacturing processes • Manufacturing equipment Dimensional metrology Mechanical metrology Nano-manufacturing metrology • Increasing pace of technological change • Product and process innovation • Shorter time-to-market • Growth of international trade • Distributed manufacturing • Increasing productivity and reducing costs Dimensional Metrology Program • Measurement traceability • to the SI • Compliance with regulatory • requirements • Harmonized international • reflecting U.S. needs • Improved measurement • accuracy, precision, and • efficiency • Ability to make new and more • complex measurements National and International Standards Project Measurement Services Project Research and Development Project Measurement science & rigorous traceability in mechanical, dimensional, & nano-manufacturing domains Development & use of measurement science, standards, test methods, & performance metrics for manufacturing systems, processes, & equipment

  24. Drivers for U.S. Manufacturing Innovation and Competitiveness MEL Competence Focus Areas MEL Programs Manufacturing Industry Needs MEL Core Competences • Dimensional Metrology • Mechanical Metrology • Advanced Optics Metrology • Nanomanufacturing Metrology • Next-Generation Nanometrology • Increasing pace of technological change • Product and process innovation • Shorter time-to-market • Growth of international trade • Distributed manufacturing • Continual push for higher quality, better performing, customized products • Increasing productivity and reducing costs • Need to reduce environmental impacts • New safety and security challenges Measurement traceability to the SI (2,3) Compliance with regulatory requirements (2,6) Harmonized international standards that reflect U.S. needs (2,3) Capability to cost-effectively manufacture higher quality, smaller, lighter, stronger, smarter, higher-precision and/or more complex parts and assemblies (4,5) Improved measurement accuracy, precision, and efficiency (1,4) Ability to make new and more complex measurements (1,4) Model-based manufacturing systems and processes (1) Reliable, high performance, cost-effective supply chains (3) Integration and management of manufacturing systems, processes, and equipment (3,4,5) Predictable, well-characterized manufacturing processes, equipment, and systems (1,4) Flexible, reconfigurable manufacturing systems (1,4) Environmentally sustainable manufacturing processes with quantifiable environmental impacts (6) Safe, secure, reliable manufacturing systems (7) Measurement science & rigorous traceability in mechanical, dimensional, & nano-manufacturing domains • Dimensional metrology • Mechanical metrology • Nano-manufacturing metrology • Adv. Mfg. Systems • Measurement Science for Mfg. Robotics and Automation • Robotics & Automation Interoperability Stds • Intelligent Mfg. Industrial Control Systems & Network Stds • Supply Chain Integration • Sustainable & Lifecycle Information-based Mfg. • Simulation-based Mfg Interoperability Stds and Testing • Measurement & standards for: • Manufacturing systems • Manufacturing processes • Manufacturing equipment Dimensional metrology Mechanical metrology Nano-manufacturing metrology • Increasing pace of technological change • Product and process innovation • Shorter time-to-market • Growth of international trade • Distributed manufacturing • Increasing productivity and reducing costs Nanomanufacturing Metrology Program • Measurement traceability • to the SI • Compliance with regulatory • requirements • Harmonized international • reflecting U.S. needs • Improved measurement • accuracy, precision, and • efficiency • Ability to make new and more • complex measurements Linewidth Metrology Project Overlay and Registration Metrology Project Bullets and Casings Metrology Project Scale Metrology Project Measurement science & rigorous traceability in mechanical, dimensional, & nano-manufacturing domains Development & use of measurement science, standards, test methods, & performance metrics for manufacturing systems, processes, & equipment

  25. Drivers for U.S. Manufacturing Innovation and Competitiveness MEL Competence Focus Areas MEL Programs Manufacturing Industry Needs MEL Core Competences • Dimensional Metrology • Mechanical Metrology • Advanced Optics Metrology • Nanomanufacturing Metrology • Next-Generation Nanometrology • Increasing pace of technological change • Product and process innovation • Shorter time-to-market • Growth of international trade • Distributed manufacturing • Continual push for higher quality, better performing, customized products • Increasing productivity and reducing costs • Need to reduce environmental impacts • New safety and security challenges Measurement traceability to the SI (2,3) Compliance with regulatory requirements (2,6) Harmonized international standards that reflect U.S. needs (2,3) Capability to cost-effectively manufacture higher quality, smaller, lighter, stronger, smarter, higher-precision and/or more complex parts and assemblies (4,5) Improved measurement accuracy, precision, and efficiency (1,4) Ability to make new and more complex measurements (1,4) Model-based manufacturing systems and processes (1) Reliable, high performance, cost-effective supply chains (3) Integration and management of manufacturing systems, processes, and equipment (3,4,5) Predictable, well-characterized manufacturing processes, equipment, and systems (1,4) Flexible, reconfigurable manufacturing systems (1,4) Environmentally sustainable manufacturing processes with quantifiable environmental impacts (6) Safe, secure, reliable manufacturing systems (7) Measurement science & rigorous traceability in mechanical, dimensional, & nano-manufacturing domains • Dimensional metrology • Mechanical metrology • Nano-manufacturing metrology • Adv. Mfg. Systems • Measurement Science for Mfg. Robotics and Automation • Robotics & Automation Interoperability Stds • Intelligent Mfg. Industrial Control Systems & Network Stds • Supply Chain Integration • Sustainable & Lifecycle Information-based Mfg. • Simulation-based Mfg Interoperability Stds and Testing • Measurement & standards for: • Manufacturing systems • Manufacturing processes • Manufacturing equipment Dimensional metrology Mechanical metrology Nano-manufacturing metrology • Increasing pace of technological change • Product and process innovation • Shorter time-to-market • Growth of international trade • Distributed manufacturing • Increasing productivity and reducing costs Next-Generation Nanometrology Program • Measurement traceability • to the SI • Compliance with regulatory • requirements • Harmonized international • reflecting U.S. needs • Improved measurement • accuracy, precision, and • efficiency • Ability to make new and more • complex measurements Advanced Particle Beam Metrology Project Nanoparticle and Materials Metrology Project Atom-based Dimensional Metrology Project Advanced Optics Project Measurement science & rigorous traceability in mechanical, dimensional, & nano-manufacturing domains Development & use of measurement science, standards, test methods, & performance metrics for manufacturing systems, processes, & equipment

  26. Developments Affecting PED Operations • Move to AML • In AML about 4 years • Optimization of Metrology Instruments continues • Many new instruments added • AARA Funds • 4 AARA instruments on order • New Linescale Interferometer • New Calibrated AFM • New CD AFM • New Atomic Resolution Particle beam instrument

  27. Developments Affecting PED Operations • AARA Grants reviewed • PED staff reviewed over 150 AARA grants submitted to NIST • Safety • Focus on safety at NIST since the incident at Boulder • All supervisors have had OSHA 6000 training • All laboratories have had hazard reviews • Safety plans implemented • Staff safety training provided

  28. Advanced Measurement Laboratory (AML) • NIST new lab – designed to provide world-leading air quality, temperature, vibration, humidity control. • PED move completed in September 2004 • All major equipment items slated for the AML moved (One project moved back) • 90% of Division measurement service areas now based in AML • Instruments e.g. M48 CMM and Metrology SEMs showing higher performance • Issues with temperature stability and humidity still being worked out

  29. Critical Criteria (AML) • Developed for advanced metrology • Designed to be the most environmentally stable laboratory in the world • NIST designs being used worldwide Modern Laboratories Renovated NIST Labs NIST AML Criteria Current NIST Facilities Temperature Control +/- 0.01 Degrees Celsius Humidity Control +/- 1 % Air Quality Class 100 - M3.5 Vibration Control 0.2 - 3 Micrometers/second

  30. Vibration Reduction: Scanning Electron Microscope • Measurement of nanometer features such as linewidths. • Movement of SEM into AML resulted in sharper images by reducing blurring due to vibration. • Resulted in increased sharpness on NIST reference samples. • “This instrument has never worked better” …Manufacturer’s field service engineer

  31. Advanced Metrology SEM Laboratories AML Lab on grade AML Lab with slab Courtesy of FEI

  32. Coordinate Measuring Machine Ball Plate Enhanced Metrology Capabilities Enabled by the AML - Temperature • AML 0.01 ºC temperature controlled rooms are at least a factor of 10 improvement relative to the best-controlled of NIST’s GPL buildings. • This enables a reduction in measurement uncertainty, i.e., an increase in measurement accuracy, of the same order. • Temperature control of 0.01 ºC, rather than 0.1 ºC, reduces the temperature contribution to the uncertainty in measurement of a 500-mm long industrial gage to a state-of-the-art level of 50 nanometers.

  33. Temperature Stability: Moore Special Tool M48 High Accuracy CMM • The AML benefits: • Improved reproducibility of measurements by a factor of two. • Permitted measurement with uncertainty of 20 nanometers on a 90 mm diameter. • Permitted unattended mode calibrations.

  34. Laboratory Cleanliness: Roundness Machine Reliability of measurements have gone up dramatically due to improved air quality In GPL, 1/3 of all runs were lost due to dust particles that appear as imperfections in roundness No runs have been lost since moving the roundness machine to the AML NIST AML NIST GPL

  35. Accomplishments, Recognition and Awards

  36. RTI International report: Economic Impact of Measurement in the Semiconductor Industry • NIST plays a leading role in developing SRMs, and most SRMs are either sold directly by NIST or are traceable to NIST standards. • Many instrument and tool providers develop their own in-house SRMs to calibrate their equipment. These vendor-supplied SRMs are also usually NIST traceable. • SRMs are used by most of the semiconductor supply chain and include the following: • Front-end processing • – thin film for transmission electron microscopy, or TEM (NIST SRM 2063a) • – scanning electronic microscopy, or SEM, performance (NIST SRM 2069b, 8091, and 2800) • – optical microscope linewidths (NIST SRM 475 and 476) • – implantation standards (NIST SRM 2133–2137) • – ellipsometry (NIST SRM 2531 and 2534) • – microscale dimensional measurement (NIST SRM 5001)

  37. RTI International report: Economic Impact of Measurement in the Semiconductor Industry • NIST plays a leading role in developing SRMs, and most SRMs are either sold directly by NIST or are traceable to NIST standards. • Many instrument and tool providers develop their own in-house SRMs to calibrate their equipment. These vendor-supplied SRMs are also usually NIST traceable. • SRMs are used by most of the semiconductor supply chain and include the following: • Front-end processing • – thin film for transmission electron microscopy, or TEM (NIST SRM 2063a) • – scanning electronic microscopy, or SEM, performance (NIST SRM 2069b, 8091, and 2800) • – optical microscope linewidths (NIST SRM 475 and 476) • – implantation standards (NIST SRM 2133–2137) • – ellipsometry (NIST SRM 2531 and 2534) • – microscale dimensional measurement (NIST SRM 5001)

  38. RTI International report: Measurement innovations add up to big savings for semiconductors ~10 years ago management in the Semiconductor Industry was quoted as saying: “Metrology is not value added” Today the quote is: “If you cannot measure it, you cannot manufacture it.” RTI estimates that for every $1 spent on measurement, the industry as a whole saw a $3.30 return on investment.

  39. Reference Material 8820 • A new multipurpose instrument calibration standard available from NIST. • Initially developed to be used primarily for X and Y scale calibration of scanning electron microscopes • Can also be used for calibration and testing of other type of microscopes, such as: • other particle beam instruments (HIM, FIB) • optical (overlay) • scanning probe microscopes (AFM, STM) • scatterometry instruments, etc. • Designed in collaboration with the SEMATECH Advanced Metrology Advisory Group (AMAG)

  40. NNI Grand Challenge Workshop:Instrumentation and Metrology for Nanotechnology • The NNI Interagency Workshop on Instrumentation and Metrology for Nanotechnology Grand Challenge Workshop hosted at the National Institute of Standards and Technology campus Available at: www.nano.gov

  41. IWG Workshop:Instrumentation, Metrology, and Standards for Nanomanufacturing • Workshop of the National Science and Technology Council (NSTC) Interagency Working Group (IWG) on Manufacturing Research and Development (R&D) Sponsored by: • The National Institute of Standards and Technology (NIST), the National Science Foundation (NSF) and the Office of Naval Research (ONR) http://www.mel.nist.gov/nano.htm

  42. NNI Affiliated Workshop:Cross Industry Issues in Nanomanufacturing • Sponsored by NIST Manufacturing Engineering Laboratory (PED), Chemical Science and Technology Laboratory and the Physics Laboratory • Supported by a loose consortium of 10-12 industrial partners including: • Forest Products • Semiconductor Industry • Auto Industry • Aeronautical Industry

  43. Workshop Reports Strategic Plan Environmental, Health, and Safety Research Needs A sampling of NSET* Subcommittee Publications • NIST PED has contributed to all of these publications and participated most of the NNI workshops • Copies of all the reports can be downloaded at: • www.nano.gov *Nanoscale Science, Engineering and Technology (NSET) Subcommittee of the National Science and Technology Council's Committee on Technology

  44. Initiated and organized: Instrumentation, Metrology, and Standards for Nanomanufacturing Conference at the (2007-2010) SPIE Optics and Photonics Symposium • The goal is for this conference to become the leading forum for the exchange of foundational information and discussion of instrumentation, metrology and standards which are key elements for the success of nanomanufacturing.

  45. Initiated and Organized: SPIE/Scanning Microscopy 2008- 2010

  46. Department of Commerce Gold Medal AwardSteven D. Phillips and Craig M. Shakarji • For outstanding leadership in national and international standards resulting in the harmonization and advancement of coordinate metrology. • Development of the ISO standard for coordinate measuring machines • Built an international coalition and developed the seminal ISO standard in the field. • Used a novel approach to harmonize the US national standard with the ISO, removing an impediment to US competitiveness. • ISO has chosen the nominees' standardization work as the blueprint for all their emerging standards in coordinate metrology instrumentation, including video system.

  47. Other Selected Awards** • Richard Silver, Ravi Attota Nano 50 Award for Scatterfield Optical Microscopy • Jack Stone – DOC Silver Medal for the development of the NIST microfeature CMM probe • Craig Shakarji and Daniel Sawyer – NIST Bronze Medal for the design and fabrication of an operating room computer assisted orthopedic hip surgery artifact • James Potzick – Elected Fellow of SPIE • Chris Blackburn, Bruce Borchardt, Tyler Estler, Steven Phillips and Dan Sawyer -NIST Judson C. French Award, for significant improvements in accuracy and extensions of range in the calibration of long length standards **other awards, achievements and recognitions found in the PED booklet

  48. Publications • List in the PED accomplishments book and appended to the read ahead material

  49. Agenda Precision Engineering Division NRC Evaluation Sub-panel Location – PED Conference Room Advanced Measurement Laboratory Sub-Basement 219/A045 x8467 Monday, March 8, 2010 10:30-11:00 PED Division Overview (Michael T. Postek) 11:00 - 11:30 Dimensional Metrology Program Overview - Steven Phillips 11:30 - 12:00 Quality/Standards/Calibrations Overview -Theodore Doiron 12:00 – 1:00 Working Lunch - Division Review Team members engage in Question and Answer session with PED staff  1:00 - 2:00 Dimensional Metrology and Quality Programs Lab tours Gage Calibration 219/F36 - Theodore Doiron Optical Comb and Refractometry, 219/F31, F35 - Jack Stone M48 CMMs, 219/G24 - John Stoup 2:00 - 2:30 Nanomanufacturing Metrology Program Overview - Richard Silver 2:30 - 3:00 Nanomanufacturing Metrology Program Lab Tours Scanning Particle Beam Metrology, 217/E113 - Andras Vladar Defect Inspection/Fuel Cell – Scatterfield/Optics, 217/E116 - Richard Silver 3:00 Break 3:15 - 3:45 Next-Generation Nanometrology Program Overview (John Kramar) 3:45 - 4:15 Next-Generation Metrology Program Lab Tours Atom-based Dimensional Metrology UHV STM 219/A006 - Richard Silver 193 nm Optical Metrology Microscope 219/A002 - Richard Silver 4:15 - 5:30 Questions (needs and requests from panel) 5:30 p.m. Adjourn 5:35 p.m. Panel assembles to travel by shuttle to Joint Working Dinner 6:15 p.m. Joint Working Dinner: Panel members engage in Question and Answer session with NIST staff

More Related