1 / 21

Incremental Signoff Metal Fill Flow using Encounter®, PVS & QRC Extraction

Incremental Signoff Metal Fill Flow using Encounter®, PVS & QRC Extraction. Takeyoshi, IKEDA (AE Director @Cadence Japan) SSV Signoff Summit 21 st , Nov 2013. Cadence Tools in the Flow. Physical Implementation (Encounter Implementation System (EDIS))

yamal
Download Presentation

Incremental Signoff Metal Fill Flow using Encounter®, PVS & QRC Extraction

An Image/Link below is provided (as is) to download presentation Download Policy: Content on the Website is provided to you AS IS for your information and personal use and may not be sold / licensed / shared on other websites without getting consent from its author. Content is provided to you AS IS for your information and personal use only. Download presentation by click this link. While downloading, if for some reason you are not able to download a presentation, the publisher may have deleted the file from their server. During download, if you can't get a presentation, the file might be deleted by the publisher.

E N D

Presentation Transcript


  1. Incremental Signoff Metal Fill Flow using Encounter®, PVS & QRC Extraction Takeyoshi, IKEDA (AE Director @Cadence Japan) SSV Signoff Summit 21st, Nov 2013

  2. Cadence Tools in the Flow • Physical Implementation (Encounter Implementation System (EDIS)) • Post route timing optimization with virtual metal fill based RC extraction • Invoking Incremental RC extraction & metal fill • Trim metal fill after ECO routing • Trim metal fill around timing critical nets • RC Extraction (QRC Extraction) • Virtual Metal Fill(VMF) based TQRC/IQRC • Incremental TQRC/IQRC Extraction • Metal Fill (PVS) • Incremental Metal Fill

  3. Agenda 1. Metal Fill Technical Issue 2. Timing Aware Metal Fill Flow 3. Summary 4. Japanese Customer Story

  4. 1. Metal Fill Technical Issue

  5. 1-1. What’s Metal Fill CCP*thickness map Without Metal Fill DFM -> CMP -> Verify CMP M2 M1 Large thickness variation With Metal Fill M2 M1 RC impact -> worse timing Metal Fill Small Thickness variation *CCP--- Cadence® Chemical Mechanical Polishing Predictor

  6. 1-2. 40nm Fill vs. 28nm Fill 40nm 28nm Regular Fill Regular Fill wire OPC*Fill MF Insertion TAT Memory X 5.7 X 5.6 X 5.9 28nm 20M Instance 7.5hrs@16cpu Memory 50G *OPC: Optical Proximity Correction

  7. 1-3. Metal Fill impact to Timing QRC: RCextraction SPEF (Capacitance) comparison Tempus: Timinganalysis sign-off MF vs. without MF X 1.6 0.175 pF Sign-off MF Capacitance increase by Metal Fill Increase Violation 0.110 pF Without MF

  8. 1-4. Existing Flow P&R In 28nm and below, RC correlation is not good between initial P&R phase and sign-off phase due to complicated metal fill rule. without MF RC extraction Delay Calc Non-Physical Aware Timing ECO causes more # of iteration. no Timing ECO Chip performance becomes worse with large design margin correlation 28nm 20M Inst @16cpu yes Sign-off Metal fill generation and RC extraction time increase with large scale and complicated design. Sign-off MF generation 7.5hrs RC extraction Delay Calc 30hrs Increase # of design iteration causes an increase of total TAT time 10~15 iterations

  9. 2. Timing Aware Metal Fill Flow

  10. 2-1. Production Proven by Renesas RC extraction with virtual metal fill EDIS Design optimize QRC:VMF Incremental Metal Fill and RC Extraction the command to generate Sign-off Metal Fill by PVS. EDIS Physical Aware ECO PVS: Sign-off MF trimMFNearNet to remove metal fill around critical nets EDIS &Tempus timing Tempus: Timing ECO no OK? trim MF PVS&QRC: Incremental yes EDIS trim MFNN Sign-off timing timing Sign-off MF yes no OK? timing

  11. 2-2. QRC Extraction: Virtual Metal Fill Virtual Metal Fill Capability SPEF (Capacitance) Comparison TimingAnalysis VMF vs. sign-off MF Good correlation between VMF and sign-off MF VMF Fast run time compared to Sign-off MF Sign-off MF CUSTOMER DATA Non-Confidential - Can be Disclosed publicly. However, modification, copying or distribution may be restricted ndrequire prior written permission of owner. 11

  12. 2-3.EDIS: To generate Sign-off Fill by PVS Sign-off Metal Fillgeneration PVS -> Run Metal Fill Sign-off MF rule Metal Fill Fill generated by PVS is loaded into EDIS automatically.

  13. 2-4.PVS: Incremental Metal Fill Incremental Metal Fill Capability Fill wire Design change 55% 93% Incremental MF large design change Small design change Without Incr-MF With Incremental Trim MF

  14. 2-5.EDIS: To remove fill around critical nets trimMetalFillNearNet capability Run Time High performance wire reference Timing Pass Critical Net 3min Fill Fail minTrimDensity Option to keep minimum Density 20.00% Pass TrimMFNN

  15. 2-6.QRC Extraction: Incremental RC Extraction (IQRC) Incremental Extraction RC Extraction Timing 86% ECO Trim Metal Fill + Incremental Metal Fill Incremental RC Extraction Timing RC Extractor checks for design changes, runs RC extraction on the modified areas only.

  16. 3. Summary

  17. 70% TAT reduction is possible with incremental MF insertion and incremental RC extraction. 3.Summary 1 iteration TAT comparison No Fill VMF EDIS EDIS VMF RC extraction RC extraction timing timing RC extraction Timing analysis MF generation Incr-MF Incr-Extract real Fill EDIS EDIS trim MF no Sign-off MF trimMF Incremental MF RC extraction 70% TAT reduction Incremental extraction timing timing

  18. 4. Japanese Customer Story

  19. CUSTOMER DATA Non-Confidential - Can be Disclosed publicly. However, modification, copying or distribution may be restricted ndrequire prior written permission of owner. Renesas improved timing closure using EDI-PVS Metal Fill Previous flow Our flow Place& Route Place& Route Fix timing violations with Metal Fill in EDI Fix timing violations in EDI Layout tool GDS Trim Metal Fillwith Density and Timing Aware Many Iterations Metal Fill iteration Place & Route SignOff Metal Fill Deleted metal fill of upper and lower layer of critical path. Big Timing Impact! Metal Fill to only ECO area Timing Analysis(Layout tool) In Design Metal Fill SignOff Check SignOff Check Density violations Reduced TNS of Setup and Hold to 10%~75%. Timing violations Sign-off check layout 10 Iterations 1 iteration Design term 1/3 ECO Time Metal fill Time 19

  20. Thank You!

More Related