1 / 209

數位電路晶片設計及實習講義

數位電路晶片設計及實習講義. 半導體學院 數位電路設計人才培訓班 郭英哲 編撰 國立勤益技術學院 電機系 92.10.12. 數位電路晶片設計及實習. 授課教師: 郭英哲 (04-23924505 ext:7271) kuoyc@chinyi.ncit.edu.tw 上課時數: 2 堂正課、 2 堂實習,計 3 學分。 開課學期: 四技一下選、在職二技 一下 選、進推部二技選 Text Book : J. Bhasker, “A VHDL Primer 3/e,” Prentic-Hall, 1999

Mercy
Download Presentation

數位電路晶片設計及實習講義

An Image/Link below is provided (as is) to download presentation Download Policy: Content on the Website is provided to you AS IS for your information and personal use and may not be sold / licensed / shared on other websites without getting consent from its author. Content is provided to you AS IS for your information and personal use only. Download presentation by click this link. While downloading, if for some reason you are not able to download a presentation, the publisher may have deleted the file from their server. During download, if you can't get a presentation, the file might be deleted by the publisher.

E N D

Presentation Transcript


  1. 數位電路晶片設計及實習講義 半導體學院 數位電路設計人才培訓班 郭英哲 編撰 國立勤益技術學院 電機系 92.10.12 Digital Circuit IC Design

  2. 數位電路晶片設計及實習 • 授課教師:郭英哲 (04-23924505 ext:7271) kuoyc@chinyi.ncit.edu.tw • 上課時數:2堂正課、2堂實習,計3學分。 • 開課學期:四技一下選、在職二技一下選、進推部二技選 • Text Book : J. Bhasker, “A VHDL Primer 3/e,” Prentic-Hall, 1999 蕭如宣,VHDL數位電路設計,儒林出版,SIM-829 • Reference Book : 李宜達,數位邏輯電路設計與模擬---使用AHDL/VHDL,全華出版 唐佩忠,VHDL與數位邏輯設計,高立出版108328 盧毅,VHDL與數位電路設計,文魁出版T0278 林明權,數位控制系統設計---使用VHDL,全華出版 05066 Digital Circuit IC Design

  3. 數位電路晶片設計及實習 • 實習設備:24套 • P-4 2.4GHz PC • ALTERA公司(www.altera.com) MAX+Plus–II Baseline設計軟體 • 力浦電子(www.leap.com.tw) LP-2900實驗板一組(含變壓器及25-pin printer port cable) • 上課內容: • 晶片設計資源介紹 • ALTERA MAX+Plus – II 設計軟體介紹 • Schematic design • VHDL syntax • 組合邏輯電路設計 • 序向邏輯電路設計 • 期末專題 • 計分方式: • 平時 50% (有5次實驗,每個實驗10%) • 期末專題 20% • 期末術科考試 30% Digital Circuit IC Design

  4. Digital Circuit IC Design

  5. Using fixed function ICs Digital Circuit IC Design

  6. IC design approach Digital Circuit IC Design

  7. Introduction passive components(1/2) Digital Circuit IC Design

  8. Introduction passive components(2/2) Digital Circuit IC Design

  9. Introduction IC and VLSI Digital Circuit IC Design

  10. IntroductionIC design methnologies Digital Circuit IC Design

  11. Active Componentslogic Gates(1/12) Digital Circuit IC Design

  12. Active Componentslogic Gates(2/12) VHDL syntax Y <= not A ; Digital Circuit IC Design

  13. Active Componentslogic Gates(3/12) VHDL syntax Y <= A ; Digital Circuit IC Design

  14. Active Componentslogic Gates(4/12) VHDL syntax Y <= A nand B ; Digital Circuit IC Design

  15. Active Componentslogic Gates(5/12) VHDL syntax Y <= A nor B ; Digital Circuit IC Design

  16. Active Componentslogic Gates(6/12) Digital Circuit IC Design

  17. Active Componentslogic Gates(7/12) VHDL syntax Y <= A xor B ; Digital Circuit IC Design

  18. Active ComponentsCombinational Circuit Digital Circuit IC Design

  19. Active Componentslogic Gates(8/12) Digital Circuit IC Design

  20. Active Componentslogic Gates(9/12) Digital Circuit IC Design

  21. Active Componentslogic Gates(10/12) Digital Circuit IC Design

  22. Active Componentsexample of tri-state buffer Digital Circuit IC Design

  23. Active Componentslogic Gates(11/12) Digital Circuit IC Design

  24. Active Componentslogic Gates(12/12) Digital Circuit IC Design

  25. Active Componentssequential Circuit Digital Circuit IC Design

  26. Digital Circuit IC Design

  27. Digital Circuit IC Design

  28. Digital Circuit IC Design

  29. Programmable Logic Device • PROM: Programmable ROM • PLA : Programmable Logic Array • PAL: Programmable Array Logic • PEEL: Programmable Electrically Erasable Array • FPGA: Field Programmable Gate Array Digital Circuit IC Design

  30. PROM • AND部份不可以規劃 • OR部份可以規劃 Digital Circuit IC Design

  31. Digital Circuit IC Design

  32. Digital Circuit IC Design

  33. Digital Circuit IC Design

  34. Digital Circuit IC Design

  35. Digital Circuit IC Design

  36. Digital Circuit IC Design

  37. Digital Circuit IC Design

  38. Digital Circuit IC Design

  39. Digital Circuit IC Design

  40. get symbol(schematic) • Symbol \ Enter symbol (or double-click) AND2 VCC DFF OR3 INPUT OUTPUT Digital Circuit IC Design

  41. 4-bit asynchronous (非同步)ripple down-counter(schematic) Digital Circuit IC Design

  42. Steps of simulation(schematic) • File / New / Waveform Editor File • Node / Enter Nodes from SNF… • Right-click / Enter Nodes from SNF… Digital Circuit IC Design

  43. Steps of simulationend time / grid size • File / End time • 延長模擬時間 • Options / grid size • 設定最小時間刻度 Digital Circuit IC Design

  44. Steps of simulationend time / grid size Digital Circuit IC Design

  45. Result of simulation Digital Circuit IC Design

  46. Result of simulation Delay會累加 Digital Circuit IC Design

  47. 4-bit synchronous(同步) up-counter Digital Circuit IC Design

  48. Result of simulation Digital Circuit IC Design

  49. Result of simulation 不會有Delay累積 Digital Circuit IC Design

  50. Create user symbol Create symbol Digital Circuit IC Design

More Related