1 / 30

Standartsed elemendid

Standartsed elemendid. L16. Loogikaelementide pered siseehitus - CMOS jt. L17. Standartsed kombinatoorsed ja mäluga elemendid. L18. Digitaalaritmeetika, operatsioonide realiseerimine. a. y. b. a. y. b. a. y. CMOS põhielemendid.

shelley
Download Presentation

Standartsed elemendid

An Image/Link below is provided (as is) to download presentation Download Policy: Content on the Website is provided to you AS IS for your information and personal use and may not be sold / licensed / shared on other websites without getting consent from its author. Content is provided to you AS IS for your information and personal use only. Download presentation by click this link. While downloading, if for some reason you are not able to download a presentation, the publisher may have deleted the file from their server. During download, if you can't get a presentation, the file might be deleted by the publisher.

E N D

Presentation Transcript


  1. Standartsed elemendid • L16. Loogikaelementide pered • siseehitus - CMOS jt. • L17. Standartsed kombinatoorsed ja mäluga elemendid. • L18. Digitaalaritmeetika, operatsioonide realiseerimine. I207 - Digitaalloogika ja -süsteemid - L17

  2. a y b a y b a y CMOS põhielemendid • J.F. Wakerly “Digital Design: Principles and Practices” - 5.3-8, 8.3-5 NOT NAND NOR I207 - Digitaalloogika ja -süsteemid - L17

  3. EN Y0 DC I1 Y0 Y1 I0 Y1 Y2 I0 Y2 EN Y3 Y3 I1 Dekoodrid • Sisendkoodi teisendamine väljundkoodiks • Levinuim – n sisendit  2n väljundit I207 - Digitaalloogika ja -süsteemid - L17

  4. Tõeväärtustabel I1 I0 EN’ Y0’ Y1’ Y2’ Y3’ ---------------------------- - - 1 1 1 1 1 0 0 0 0 1 1 1 0 1 0 1 0 1 1 1 0 0 1 1 0 1 1 1 0 1 1 1 0 VHDL kood process (i1, i0, en) variable tmp: bit_vector (0 to 1); begin y0 <= ’1’; y1 <= ’1’; y2 <= ’1’; y3 <= ’1’; if en=’0’ then tmp := i1 & i0; case tmp is when ”00” => y0 <=’0’; when ”01” => y1 <=’0’; when ”10” => y2 <=’0’; when ”11” => y3 <=’0’; end case; end if; end process; Dekoodrid I207 - Digitaalloogika ja -süsteemid - L17

  5. Y0 I0 I1 I2 Y1 I3 I4 Y2 I5 I6 I7 Koodrid • Levinuim – 2n sisendit  n väljundit • mitu sisendit korraga? • prioriteedid • abisignaalid • H7 = I7 • H6 = I6·I7’ • … • H0 = I0·I1’·I2’·I3’·I4’·I5’·I6’·I7’ • … • Y2 = H4+H5+H6+H7 I207 - Digitaalloogika ja -süsteemid - L17

  6. B1 B1 S1 S1 siin siin B2 B2 B1 S2 S2 S1 siin B1 B2 S1 siin S2 B2 S2 Selektorid • Siini selektor – üks mitmest valikust I207 - Digitaalloogika ja -süsteemid - L17

  7. process (b1, s1, b2, s2) begin siin <= (b1 and s1) or (b2 and s2); end process; process (b1, s1, b2, s2) begin if s1=’1’ then siin <= b1; elsif s2=’1’ then siin <= b2; end if; end process; signal siin: resolved my_bit, -- … siin <= b1 when s1=’1’ else ’Z’; siin <= b2 when s2=’1’ else ’Z’; Selektorid & VHDL I207 - Digitaalloogika ja -süsteemid - L17

  8. A O EN EN O C D A B n p EN C Z 1 1 0 0 0 - - Z 1 1 1 0 0 - - O A + 0 0 1 1 1 0 - + 1 0 0 1 1 0 - D B CMOS – siini selektor • Siinid • mitu allikat tüürib sama ahelat • suur väljundtakistus • three-state buffer I207 - Digitaalloogika ja -süsteemid - L17

  9. A0 B0 A1 B1 An Bn SEL’ DIR Siinivõimendi • Koormatavus →siini segmenteerimine • juhtsignaalid kõigi võimenditeni / puhvriteni I207 - Digitaalloogika ja -süsteemid - L17

  10. I0 I1 MUX I0 Y I1 Y A0 A0 EN I0 EN Y I1 A0 Multiplekserid • Kanali (siini) selektor • n aadress-sisendit • 2n andmesisendit • 1 väljund • dekooder+selektor I207 - Digitaalloogika ja -süsteemid - L17

  11. I0 I1 A0 Y I2 A1 EN I3 A0 Multiplekser & VHDL process (i0,i1,i2,i3,a1,a0,en) begin if en=’0’ then -- decoder & selector if a1=’1’ then if a0=’1’ then y<=i3; else y<=i2; end if; else if a0=’1’ then y<=i1; else y<=i0; end if; end if; else y<=’0’; end if; end process; I207 - Digitaalloogika ja -süsteemid - L17

  12. I0 I0 Y I1 Y I1 A0 I0 I0 A0 Y Y I1 I1 A0 A0 CMOS – (de)multiplekser I207 - Digitaalloogika ja -süsteemid - L17

  13. I0 O0 I1 O1 I2 O2 I3 O3 Muud kombinatoorsed elemendid • Demultiplekser == dekooder • Paarsuskontroll • Nihutajad nihutaja paarsuskontroll ASR-1 I207 - Digitaalloogika ja -süsteemid - L17

  14. I0 O0 I1 I2 O1 I0 O0 I0 O0 I1 O1 I1 O1 I3 O2 I2 O2 I2 O2 I3 O3 O3 I3 O3 1/2 Nihutajad • Barrel shifter • muudetav bittide arv ASR-1 ASR-2 I207 - Digitaalloogika ja -süsteemid - L17

  15. S D Q master slave S D Q Q C Q R C R D-flip-flop • Master-Slave (meister-sell) • C=0 – meister avatud, sell suletud • C=1 – meister suletud, sell avatud I207 - Digitaalloogika ja -süsteemid - L17

  16. TT1 S TT3 S D Q R Q C Q R C Q TT2 D D-flip-flop • 74LS74 • … ja analoogid • TT1, TT2 – abitrigerid • TT3 - põhitriger • TT1 ‘1’-ks, TT2 ‘0’-ks I207 - Digitaalloogika ja -süsteemid - L17

  17. D Q master slave C D Q C D-flip-flop • CMOS • C=0 – meister avatud, sell suletud • C=1 – meister suletud, sell avatud I207 - Digitaalloogika ja -süsteemid - L17

  18. master Q slave J Q Q S J Q K C Q K Q C R JK-flip-flop J K Qt+1 0 0 Qt 0 1 0 1 0 1 1 1 Qt • C=0 – meister avatud, sell suletud • C=1 – meister suletud, sell avatud I207 - Digitaalloogika ja -süsteemid - L17

  19. D3 Q3 D D D D Q Q Q Q C C D2 Q2 C C D3 Q3 D2 Q2 D1 Q1 D0 Q0 D1 Q1 C D0 Q0 C Registrid process begin wait on C until C = ’1’; Q0 <= D0; Q1 <= D1; Q2 <= D2; Q3 <= D3; end process; register I207 - Digitaalloogika ja -süsteemid - L17

  20. Q3 D0 Q3 C D Q D D D Q Q Q C C C C D0 C Registrid process variable Q0, Q1, Q2: bit; begin wait on C until C = ’1’; Q3 <= Q2; Q2 := Q1; Q1 := Q0; Q0 := D0; end process; nihkeregister I207 - Digitaalloogika ja -süsteemid - L17

  21. Q0 Q0 Q1 Q1 1 J J J J J J C C C C C C 1 1 K K K K K K C C Q0 Q1 1 1 C C C Q0 Q0 Q1 Q1 Loendurid 0…3 0…2 I207 - Digitaalloogika ja -süsteemid - L17

  22. Q0 Q1 Q2 J J J C C C K K K 1 C C Q0 Q1 Q2 Loendurid 0…4 I207 - Digitaalloogika ja -süsteemid - L17

  23. J J J C C C K K K Q0 Q1 Q2 1 C Q0 Q1 Q2 C Loendurid 0…7 I207 - Digitaalloogika ja -süsteemid - L17

  24. start/stop Impulssgeneraatorid f~1/RC I207 - Digitaalloogika ja -süsteemid - L17

  25. Mälud • J.F. Wakerly “Digital Design: Principles and Practices” - 10 • Püsimälud • ROM, PROM • Korduvalt kirjutatavad püsimälud • EPROM, EEPROM • Muutmälud • RAM, SRAM, DRAM, SDRAM • Aadress-dekooder + mäluelemendid • mälupangad, võimendid jne. I207 - Digitaalloogika ja -süsteemid - L17

  26. A0 A1 dekooder An võimendid D0 Dm ROM, PROM, EPROM, EEPROM • Read-Only-Memory • Programmable, Erasable, Electricaly Erasable I207 - Digitaalloogika ja -süsteemid - L17

  27. ROM, PROM, EPROM, EEPROM • Transistori tüüp • ROM – transistori ühendused maskiga • PROM – ühendus/katkestus “põletatakse” • EPROM – normaalsest kõrgem pinge,nn. salvestuspinge, viib transistori teise olekusse, nt. 0  1 • kustutamine nt. ultravioletkiirgusega • EEPROM – elektriliselt kustutav • lisaskeem transistoride algoleku taastamiseks • taastatakse terve mälu või sõna/ploki haaval I207 - Digitaalloogika ja -süsteemid - L17

  28. D0 Dm sisendvõimendid Di Oi sel A0 wr A1 dekooder An väljundvõimendid O0 Om RAM, SRAM, DRAM, SDRAM • Random-Access-Memory • Static, Dynamic, Synchronous S/D I207 - Digitaalloogika ja -süsteemid - L17

  29. Vdd Read word word GND bit bit’ Di Oi sel Write wr Din Dout Bit Data Word Read/Write’ Mäluelemendid 6T (SRAM) 3T (DRAM) 1T (DRAM) 1T (FLASH) I207 - Digitaalloogika ja -süsteemid - L17

  30. RAM, SRAM, DRAM, SDRAM • SRAM – triger: kiire, suur, voolunäljane • SSRAM – sünkroonne SRAM • täiendavad registrid ja taktsignaal • plokk-pöördus e. konveirpöördus (pipelined) • DRAM – transistor (parasiitmahtuvused) • info vajab perioodilist värskendamist (N ms) • sisse-ehitatud värskendamist (refresh) • SDRAM – sünkroonne DRAM • kasutatavaim suurte mälumahtude puhul • Analoogmälud – DRAM põhimõte • rohkem infot elemendis – suuremad pesad • mitmevalentsed mälud – lisaks DAC+ADC I207 - Digitaalloogika ja -süsteemid - L17

More Related