230 likes | 628 Views
Praca dyplomowa inżynierska. PROJEKT ORAZ BUDOWA URZĄDZENIA DO ŚLEDZENIA SŁOŃCA WYKORZYSTYWANEGO DO ŁADOWANIA AKUMULATORÓW. Dyplomanci : Jan Grabe Jacek Mueller. KAO. Promotor dr inż. Krystyna Maria Noga. CEL PRACY.
E N D
Praca dyplomowa inżynierska PROJEKT ORAZ BUDOWA URZĄDZENIA DO ŚLEDZENIA SŁOŃCA WYKORZYSTYWANEGO DO ŁADOWANIA AKUMULATORÓW Dyplomanci : Jan Grabe Jacek Mueller KAO Promotor dr inż. Krystyna Maria Noga
CEL PRACY Celem pracy dyplomowej inżynierskiej było: • zaprojektowanie i realizacja urządzenia do śledzenia słońca wykorzys-tywanego do ładowania akumulatorów, • opracowanie i uruchomienie programu sterującego obrotnicą panelu fotowoltaicznego, • zoptymalizowanie procesu ładowania akumulatorów współpracujących z panelami fotowoltaicznymi. Wykorzystano: • zestaw laboratoryjny DE_2 firmy Terasic, • środowisko Quartus II firmy Altera oraz język programowania sprzętu VHDL (edytor tekstowy oraz graficzny), • żarnik halogenowy jako źródło światła, umocowany do wysięgnika obrotnicy naśladującej ruch słońca w zadanym czasie.
SCHEMAT BLOKOWY SYSTEMU OBROTNICA „SŁOŃCA” CZUJNIKI KOMPUTER INTERFEJS ALTERA DE2 ADC AKUMULATOR OBROTNICA Z PANELEM FOTOWOLTAICZNYM REGULATOR OBCIĄŻENIE
Dodatkowe elementy składowe • moduł przetwornika z ADC 0808 z adapterem, • moduł panelu fotowoltaicznego, • czujnik położenia słońca, • obrotnica panelu , • akumulator z regulatorem prądu ładowania i rozładowania oraz obciążenie, • płyta bazowa wraz z elementami mocującymi oraz okablowanie, • obrotnica symulatora Słońca, • zasilacz, sterowanie obrotnicy symulatora Słońca, • Interfejs współpracy zestawu laboratoryjnego DE_2 z obrotnicą panelu.
Wykonane prace wybór panelu fotowoltaicznego, dopasowanie akumulatora, regulatora, dobór obrotnic i elementów sterujących obrotnicami, scalenie urządzenia , napisanie oprogramowania sterującego, wykonanie symulacji pracy urządzenia, poprawa oprogramowania (wprowadzenie progu uaktywnienia sterowania, zmniejszenie czułości, wyświetlanie napięć z „przecinkiem”),
Do konwersji sygnałów zastosowano przetwornik ADC firmy SLS Płytka układu ADC 0808 Płytka układu adaptera do ADC 0808
Pierwotna konstrukcja urządzenia SYMULATOR SŁOŃCA PANEL FOTOWOLTAICZNY OBROTNICA PANELU FOTOWOLTAICZNEGO AKUMULATOR OBROTNICA SYMULATORA SŁOŃCA REGULATOR PRĄDU I NAPIĘCIA AKUMULATORA STEROWNIK SILNIKÓW ALTERA DE_2
KONSTRUKCJA URZĄDZENIA Wersja ostateczna urządzenia zapewniająca bezkolizyjny ruch panelu i odsunięta obrotnica „słońca” z możliwością symulowania pory roku (ręczna nastawa „zima”, „lato”).
Czujnikiwykonane z fotorezystorów z potencjometryczną regulacją równowagi mostka
OPRACOWANIE OPROGRAMOWANIA STERUJĄCEGOPrzykładowy kod licznika lpm z biblioteki komponentów środowiska Quartus component LPM_COUNTER generic (LPM_WIDTH : natural; -- Musi być większa niż zero LPM_MODULUS : natural := 0; LPM_DIRECTION : string := "UNUSED";--Niewykorzystany LPM_AVALUE : string := "UNUSED";--Niewykorzystany LPM_SVALUE : string := "UNUSED";--Niewykorzystany LPM_PORT_UPDOWN : string := "PORT_CONNECTIVITY"; LPM_PVALUE : string := "UNUSED";--Niewykorzystany LPM_TYPE: string := L_COUNTER; LPM_HINT : string := "UNUSED");--Niewykorzystany port (DATA : in std_logic_vector(LPM_WIDTH-1 downto 0):= (OTHERS => '0'); CLOCK : in std_logic ; --wejście binarne zegara CLK_EN : in std_logic := '1';--włączenie zegara CNT_EN : in std_logic := '1';--umorzliwienie zliczania UPDOWN : in std_logic := '1'; --wybór zliczania góra/dół SLOAD : in std_logic := '0'; --załad. synch. wartości do licznika SSET : in std_logic := '0'; --ustawienie synch. stanu licznika SCLR : in std_logic := '0'; --wyzerowanie synch. licznika ALOAD : in std_logic := '0'; --asynch. załąd. wartości do licznika ASET : in std_logic := '0'; --asynch. ustawienie wartości licznika ACLR : in std_logic := '0'; --asynch. zerowanie licznika CIN : in std_logic := '1'; --wejście logiczne C COUT : out std_logic := '0'; --wyjście logiczne C Q : out std_logic_vector(LPM_WIDTH-1 downto 0); --wektor wyjściowy(szerokość-1 do zera) EQ : out std_logic_vector(15 downto 0)); end component;
PODSUMOWANIE • Opracowanie projektu oparto na zestawie laboratoryjnym DE_2 firmy ALTERA oraz na ogólnodostępnych na rynku podzespołach zapewniających wymaganą trwałość i estetyczny wygląd urządzenia do śledzenia słońca. • Do realizacji programu sterującego wykorzystano środowisko Quartus i język VHDL. • Program sterujący został zaimplementowany w układzie FPGA firmy Altera. • Opracowany i wykonany model zostanie wykorzystany jako stanowisko dydaktyczne do ćwiczeń w laboratorium Techniki Cyfrowej. • Dobór elementów był podyktowany wielkością urządzenia (panel fotowoltaiczny) i wartościami parametrów (dopasowanie użytych elementów do panelu). • Podstawą opracowania był monokrystaliczny panel fotowoltaiczny o mocy 20W używany do małych zestawów autonomicznych, np. (jachty, domki letniskowe, sygnalizacja świetlna).
PODSUMOWANIE cd. Możliwe jest optymalizowanie punktu pracy panelu fotowoltaicznego ukierunkowane na maksymalną moc pozyskiwaną z panelu. Ze względu na szeroki zakres wykonanych prac nie podjęto tej próby. Po zastosowaniu pomiaru prądu panelu fotowoltaicznego i zastosowaniu modułu regulacji tego prądu można wymuszać punkt pracy panelu fotowoltaicznego ukierunkowany na maksymalną moc pozyskiwaną z panelu. Przetwornik ADC posiada niewykorzystane dwa wejścia analogowe, które można wykorzystać do pomiaru prądów.
WNIOSKI KOŃCOWE • W trakcie realizacji projektu wykonano pięć wersji opracowywanego układu sterowania • W wyniku przeprowadzonych prób i eksperymentów opracowywanego układu sterowania powstała optymalna wersja programu sterowania solar_5 • Przeprowadzone próby wykazały poprawność działania układu sterowania • zgodnie z założeniami projektowymi • Symulator Słońca generuje niewiele energii w panelu, ale umożliwia przeprowadzenie poprawnej symulacji • Celowa jest budowa układów nadążnych (pokazano w rozdziale prezentującym inne rozwiązania)